最有机会突围而出的国产半导体设备厂商

2018-03-06 14:00:14 来源: 官方微信

来源:内容来自 张天闻 国君电子王聪团队,谢谢。


3月3日晚央视《大国重器》对中微半导体7nm刻蚀机做了介绍。根据2017年1月【美国总统咨文】:中国缺少Tier-One的半导体设备公司,但有一个Tier-Two设备公司在上海,这个公司制造半导体Fab厂所需的某种制造公司,那就是AMEC。

尽管我国半导体设备产业和国际龙头AMAT、ASML等仍有差距,但是我们可以看到国内半导体设备产业无论从环境、下游需求拉动还是研发实力都有质的飞跃。不仅AMEC(中微),北方华创设备28nm产线已经量产,并进入14nm产线验证阶段;长川科技在半导体封测设备领域处于领先地位等都让我们看到了半导体设备国产化的希望。

现将半导体设备国产化主要逻辑以及昨日《大国重器》全文纪要附于其下,详细请见随后的半导体设备深度报告以及相关调研、交流,我们一起来看看这个市场发生了什么变化。


1.1集成电路制造工艺复杂,设备支出巨

IC制造分为晶圆制造及加工,晶圆制造是指利用二氧化硅作为原材料制作单晶硅,需要熔炼炉、CVD设备、单晶炉和切片机等设备;晶圆加工是指在晶圆上制作逻辑电路的过程,需要镀膜、光刻、显影、刻蚀、离子注入工艺过程,需要PECVD、LPCVD、光刻机、刻蚀机、离子注入机、扩散炉等设备。IC封测是IC生产的后段环节,对晶圆进行减薄、切割、贴片、引线键合、封装测试等过程,需要减薄机、引线键合机、切割机、清洗机等设备。根据SEMI估计,设备投资在集成电路生产线总投资额的80%左右,足见设备在生产过程中的重要性。

2017年前三季度全球半导体设备总销售额为415亿美元,同比增长39.7%,中国大陆为64.5亿美元,占16%的比例。分地区来看,韩国为最大市场,占比高达32%,其次为台湾21%,日本为11%,北美为10%。大陆销售占比从2005年的4%上升为2017年前三季度的16%,并且超过了北美和日本成为第三大市场。

1.2 通过历史的眼光看今天的大陆:半导体国产化的天时地利人和

纵观历史,全球半导体经历过两次产业转移,第一次发生在上世纪80年代。第二次则发生在上世纪90年代,由于日本经济泡沫破灭,使其巨大资本开支难以维系,韩国和台湾抓住机会,在强大资金的支持下,确立了在PC和手机端的全球芯片霸主的地位,台湾更是看中了晶圆代工的市场,着力发展代工产业,由此完成了第二次产业转移——由日本向韩国、台湾地区的转移。

从过往产业转移过程来看,半导体的全球级霸主往往伴随着新应用新市场的快速崛起和国家财政的大力支持。目前我国半导体产业正处于以物联网、人工智能、5G等行业崛起的过程中,市场需求庞大;同时政府以多项文件、专项计划大力支持,又通过大基金进行资本投入,使得我国兼具着产业转移的两大历史条件,有望成为第三次产业转移的最大受益者。

1.2.1 台湾汉微科的崛起之路给我们的启示

台湾汉微科成立于1998年,其创始团队抓住晶圆制程不断进步的趋势,专注于电子束晶圆检测技术,以独家的跳跃式扫描检测及稳定的电子枪技术领先于全球市场,产品毛利率高达70%,市占率超过八成,是细分领域的绝对龙头。

然而在刚成立的12年间(1998-2009),由于先后受到了半导体行业制程推进速度缓慢、全球金融危机以及半导体周期下行等多方面的影响,公司收入增长十分缓慢,净利润也始终为负。但公司始终将研发作为自己安家立命之本,研发投入曾一度了超过亏损值,研发费用占收入比例在2007年业绩低点处更是高达40%。公司在行业困难时期率先积累的先进技术,终于帮助公司在2010年后进入的28nm先进制程新时代中获得先发优势,一举获得超过八成的全球市场份额,最终于2016年被作为全球最大的半导体设备制造商之一的ASML以新台币1千亿元溢价31%收购。

如果说之前的制程发展是“天时”,那么台湾在上世纪八十年代指定的“IC示范大厂”等战略可谓是“地利”,更可以说成是之后“天时”的先决条件。上世纪七十年代末八十年代初,台湾提出“IC示范大厂”规划,将打造全球领先的IC制造大厂作为首要目标,并选定IT产业为“策略工业”。在这种大环境下,半导体企业如雨后春笋版地在台湾蓬勃发展,使得台湾最终成长为IC重镇。

如前所述,台湾在第二次产业转移中所选取的战略,使得台湾地区拥有着全球最领先的代工产业,为汉微科的检测设备在台湾本土的发展提供了业务保障。通过比较2008年至2015年公司营收与台湾晶圆代工产值和代工龙头台积电的资本支出可以发现,设备制造企业在下游代工行业发展的带动下,业绩有了大幅的提升。

1.2.2 视角转回国内,大陆半导体设备行业占据天时地利,发展势在必行

1.2.2.1 政策与大基金持续加码


中国作为全球半导体行业最大的市场,本土产业链却存在严重缺陷,在先进世代半导体设备的进口中也收到了发达国家的限制。由于半导体行业具有资金密集、技术密集的特点,也就造就了其政策驱动的特性。正如前文所提到的台湾半导体产业的发展之路一样,我国近年来出台了一系列产业政策与国家发展基金,以促进半导体产业自主发展。

政策方面,国家近年来频繁印发集成电路相关产业政策,一方面说明改革迫在眉睫,另一方面彰显国家对集成电路产业发展的决心。国务院于2014年6月发布的《国家集成电路产业发展推进纲要》提出要突破集成电路关键设备,研发光刻机、刻蚀机等关键设备,增强产业配套能力。2015年5月,国务院印发《中国制造2025》,明确提出在2020年之前,90-32nm设备国产化率达到50%,2025年之前,20-14nm设备国产化率达到30%,并明确将集成电路放在发展新一代信息技术产业的首位。2016 年5 月,国务院印发《国家创新驱动发展战略纲要》,提出要加大集成电路的技术攻关和推广力度,为我国经济转型升级和国家安全提供保障。2016年12月,国务院印发了《十三五国家战略性新兴产业发展规划》,部署了包括集成电路发展工程在内的21项重大工程。

资金方面,2014年9月,国家集成电路产业投资基金(简称“大基金”)正式成立,首期募集资金规模达1387亿元。据财联社的报道,大基金一期资金已基本投资完毕,投资超过62各项目,主要投向了中芯国际等集成电路制造环节厂商,在芯片设计、封测、装备与材料领域也都有所涉足。近日,大基金二期募资也已经启动,拟募资1500-2000亿,有望在设备制造、芯片设计和材料领域加大投资。考虑到资金具有的放大效应,大基金总募集撬动规模有望达到一万亿元。

1.2.2.2  产业转移带动建厂热潮,设备公司将会受益


根据SEMI research的数据,受到全球集成电路需求的影响,未来三年直到2020年,全球预计将会有62座半导体晶圆厂投产,其中有26座位于中国。根据日本硅晶圆大厂SUMCO的统计,到2020年将会有150万片左右的新增月产能。我们认为伴随着国家政策对于制造设备国产化率的要求,大陆设备制造企业将会享受本轮投资建厂热潮带来的巨大红利。根据SEMI近期发布的中国集成电路产业展望报告,国际厂商在大陆建厂的资本支出在2018年将达到120亿美元,而潜在具有升势的中国大陆设备厂商会得到受益。

对标日本半导体产业发展历史,日本半导体制造环节从1980年全球占比不到30%提升至1989年51%,同期材料设备全球占比分别从不到20%提升至71%和42%,说明制造业对整个产业链拉动作用明显。

1.2.3国际环境限制下半导体设备国产化势在必行

虽然建厂潮将会对国内设备厂商带来可观的红利,但与以美日为主导的国际企业相比仍相形见绌。国内设备厂商与国际龙头无论是在销售规模还是在技术积累上都存在较大差距。例如在2016年,以CVD、刻蚀机等设备为主要业务的美国应用材料公司营业收入高达76亿美元,而同期我国半导体设备销售额仅为425亿元,约合64亿美元,不及全球龙头一家公司的收入规模。

具体来看,目前世界集成电路设备制程正处于7nm的研发与10nm的批量生产阶段,而中国还处在14nm的研发与65-28nm的生产阶段,落后国际先进水平一到两个世代,随着下游需求的不断增加和应用场景的日益丰富,高端产能扩张的需求将会快速上升,我国迫切需要走进先进制程。而由美日韩等国家签订的《瓦塞纳协议》又规定禁止向中国出售顶级芯片和高端半导体制造设备。协议中的台积电、三星、Intel三家公司垄断了全球高端芯片制程,而这三者同时又是芯片光刻机绝对霸主荷兰ASML公司的股东,拥有其1970Ci以上型号光刻机的优先供货权,而ASML的大股东荷兰飞利浦集团同时也是台积电的大股东。设备提供龙头ASML与芯片三巨头之间紧密的关系无疑为《瓦塞纳协议》的开展提供了天然土壤,国内半导体设备企业的自研攻坚之路势在必行。

1.3 设备市场增长潜力巨大,细分领域已初露锋芒

虽然我国整体上与国际领先水平存在一定的差距,但也不乏表现相对突出的设备企业,如设备制造龙头北方华创、在刻蚀机领域做出突破的中微半导体、封测领域龙头长川科技、从事高纯工艺系统的至纯科技以及国内单晶生长设备稀缺标的晶盛机电等。

北方华创(002371.SZ)作为国内上市设备公司龙头,涉及半导体设备、真空设备、锂电设备和电子元器件等四大类产品,半导体设备覆盖等离子刻蚀设备、PVD、CVD、氧化炉等生产线核心设备。北方华创的28nm PVD设备已中芯国际生产线投入使用,在14nm制程的刻蚀、退火和CVD的设备也已进入工艺验证阶段,深度受益建厂潮。

中微半导体于2004年由尹志尧博士代领的海归人才创办,尹志尧博士曾在美国应用材料公司任职13年,专注于等离子体刻蚀设备的研发。中微是国内技术最领先的高端芯片设备企业,也是国家大基金成立后投资的首个企业。其推出的芯片介质刻蚀设备已打入全球顶级企业台积电的7nm、10nm量产线,并占据了中芯国际50%以上的新增采购额。2015年,美国商务部更因中微作提供的“有相当数量和同等质量”的刻蚀机产品,取消对华出口刻蚀设备的限制。公司未来将有望达成跳跃式增长,并有朝一日成长为国内半导体产业发展的一把利剑。

长川科技(300604.SZ)始终专注于半导体检测设备领域,主要产品包括检测机和分选机,主要客户包括华天科技、长电科技、士兰微等封测与制造企业,并受到国家大基金入股,封测设备龙头地位得到认可。公司已经掌握了高精度电压电流源控制测量技术、大电流电源高能脉冲控制与测试技术等核心技术,拥有着能与欧美抗衡的技术水平。公司2017年营收1.80亿,同比增长44.86%,归母净利润5,096万,同比增长23.05%,且具有资本平台价值,值得重点关注。

最初成立于美国硅谷的盛美半导体,在2007年引进国内落地张江,主要生产清洗设备、镀铜设备等产品,其掌握的超声波清洗技术克服了芯片制程发展所带来的工艺困难,产品进入了中芯国际、韩国海力士等知名半导体制造厂商,并获得了“02专项”的扶持。盛美于当地时间2017年11月3日在纳斯达克IPO上市,成为国产设备进军海外市场的一员。

成立于2010年的沈阳拓荆,是由海外技术专家于2010年4月组建的高新技术企业,致力于研究和生产世界领先的极大规模集成电路行业专用薄膜设备,公司已形成12英寸PECVD、ALD、3D NAND三大系列产品,并且先后两次承担国家科技重大专项,获得国家大基金大力支持。2013年,公司12英寸PECVD通过中芯国际产品线测试,2017年10月,拓荆设备在北京中芯国际量产突破百万MOVE。公司产业化生产基地基地最大产能可达350套设备,年产值约50亿元。

附.大国重器7nm刻蚀机纪要

半导体芯片是信息化时代基石,也是当今尖端制造的制高点。芯片生产有十大类设备,一千多个步骤才能生产出来。世界水平在10nm到7nm器件量产阶段,国内落后却仍处于28nm量产水平。中国每年进口芯片超2000亿美元超过原油等其他产品,这是中国输不起的一个领域。

刻蚀机是芯片制造及微观加工的最重要设备之一。采用等离子体刻蚀技术,利用活性化学物质在硅片上刻蚀微观电路。7nm制程相当于头发丝直径万分之一,是目前人类能够在大生产线上制造出的最小集成电路布线间距,这接近了微观加工极限。

中微研发的自主研发的刻蚀温控精度保持在0.75度以内,优于国际水平。对于喷淋盘,中微首创高纯铝做基材,镀上高致密特殊陶瓷薄膜 。喷淋盘直径半米,均匀分布1000个细小的圆孔。不同化学气体通过小孔进入腔体,在射频作用下形成等离子体。喷淋盘最后需要接受30小时的烧制考验,保证镀膜厚度均匀达到上百微米,其中喷淋盘在炉中烧制的运动轨迹是获得高质量陶瓷镀层的核心机密。

15年时间追赶,20年时间超越是他们的志向。今天他们第一个目标已经实现。达到与世界最先进水平同步。

尹智尧(中微半导体董事长)说,中国将来会变成世界上主要芯片生产制造基地之一。

蔡建林(科技部原副部长)说,中国政府对高新技术发展支持走在世界前列,利用好我们的技术,让中国方方面面都走到世界前列上。

作者简介


张天闻  国君电子王聪团队


半导体新锐分析师,17年成功底部挖掘兆易创新、洁美科技等标的投资机会,对于存储、代工、设备等环节有深刻认识。代表作品《关于兆易创新未来发展的看法》(国泰君安证券任职期间)、《挖矿机2018为封测市场带来多大订单需求》《NOR Flash:供需反转,缺口有望逐年增加》《应用材料发展历程对中国半导体产业借鉴意义》(海通证券任职期间)。欢迎扫下方二维码,加作者微信交流。



今天是《半导体行业观察》为您分享的第1518内容,欢迎关注。

R

eading

推荐阅读(点击文章标题,直接阅读)

RISC-V成功在望?

他离成为张忠谋就差点运气!

大唐电信是怎样混到面临退市的?



关注微信公众号 半导体行业观察 ,后台回复关键词获取更多内容

回复 面板 ,看更多面板行业的文章

回复 比特币 ,看更多与比特币、挖矿机相关的文章

回复 晶圆 ,看晶圆制造相关文章

回复 士兰微 ,看更多与士兰微公司相关的文章

回复 ISSCC ,看《从ISSCC论文看半导体行业的走势》

回复 华为 ,看更多与华为公司相关的文章

回复 封装 ,看更多与封装技术相关的文章

回复 A股 ,看更多与上市公司相关的文章

回复 展会 ,看《2017最新半导体展会会议日历》

回复 投稿 ,看《如何成为“半导体行业观察”的一员 》

回复 搜索 ,还能轻松找到其他你感兴趣的文章!

责任编辑:官方微信
半导体行业观察
摩尔芯闻

热门评论