联电格芯相继退出,先进制程路在何方?

2018-11-24 14:00:06 来源: 半导体行业观察

半导体制造工艺皇冠明珠,随摩尔定律逼近物理极限。 本文主要探讨 普通硅工艺逻辑芯片的先进制程。先进制程是指集成电路产业晶圆制造中最为顶尖的若干个工艺节点 ,随着时间不断演变升级,而就当前时点来看,本文将16/14nm及以下节点纳入先进制程的范围。根据国际半导体技术路线图(ITRS)的规定,制程节点代数通常以晶体管的半节距(half-pitch)或栅极长度(gate length)等特征尺寸(CD,critical dimension)来表示,以衡量集成电路工艺水平。摩尔定律指出:“集成电路芯片上所集成的电路的数目,每隔18-24个月就翻一倍;微处理器的性能提高一倍,或价格下降一半。”根据摩尔定律,制程节点以0.7倍(实际为根号2的倒数)递减逼近物理极限,从1μm、0.8μm、0.5μm、0.35μm、0.25μm、0.18μm、0.13μm、90nm、65nm、45nm、32nm、22nm、16nm、10nm、7nm,一直发展到未来的5nm、3nm,其中工艺节点之间还出现了半节点,如28nm、20nm、14nm。事实上90nm节点以前特征尺寸完全对应栅极长度,自65nm开始各厂商节点名称的定义越来越模糊,已不能完全对应器件的物理尺寸。目前14nm、10nm的节点名称大致对应栅极长度的一半。

下游 应用:CPU等高性能计算为核心需求

更快更高更强,性能需求引领先进制程进步。 “天下武功唯快不破”,持续提高芯片性能是先进制程的核心追求,因此先进制程的应用主要为高性能计算领域,包括CPU(AP)、GPU、ASIC、FPGA等芯片,对应下游包括智能手机、个人电脑、服务器、矿机等。这些应用对于性能要求极高,而非将成本作为首要衡量因素。目前7nm及10nm主要应用包括智能手机AP/SoC、个人电脑及服务器CPU、矿机ASIC等。14nm主要应用包括中高端AP/SoC、显卡GPU、矿机ASIC、FPGA等。较为成熟的28nm节点主要应用包括中低端手机、平板、机顶盒、路由器等主芯片。

历年先进制程均率先应用于旗舰级智能手机AP或计算机CPU等。 手机主芯片通常采用最先进两代工艺打造,旗舰手机主芯片走在制程前沿,最先进制程推出后即开始采用,新制程出现后向下转移,而中低端手机主芯片通常采用次顶级制程打造。以苹果手机以及高通各主处理器制程及推出时间为例,苹果每代手机芯片基本采用当年度台积电、三星最先进、良率稳定的制程打造,近两年的10nm、7nm制程,苹果手机芯片均为首发量产芯片。高通依据产品线不同采用制程各有侧重,例如骁龙400系列定位中低端、骁龙600系列定位中高端,均会考虑成本均衡,而高通骁龙800系列定位旗舰级,每年通常采用三星电子当期最先进工艺。英特尔作为制程工艺领先的IDM厂商,其推出的CPU也长期是最先应用先进制程的产品。

矿机ASIC、显卡GPU、FPGA同为先进制程重要应用。 显卡GPU如英伟达、AMD,ASIC如比特大陆主流矿机芯片,FPGA如赛灵思,基带芯片如iPhone X采用的高通X16 LTE、iPhone Xs系列采用的英特尔XMM7560等通常采用顶级或次顶级先进制程。以矿机芯片、AI芯片为代表的高性能计算芯片成为近几年拉动先进制程需求的全新力量。矿机芯片已步入7nm节点,2018年8月嘉楠耘智首发7nm制程矿机芯片,2018年11月比特大陆发布采用7nm制程BM1391芯片的S15矿机。AI芯片方面,寒武纪MLU100以及比特大陆开发的AI张量计算芯片BM1680均采用了台积电16nm工艺制造。

市场 空间:300亿美元空间,IDM与代工平分秋色

半导体整体空间达4122亿美元,逻辑集成电路市场1022亿美元。 根据世界半导体贸易统计组织(WSTS), 全球半导体销售额 2017年为4122亿美元,同比+21.6%,2018上半年为2290亿美元,同比+20.2%。WSTS预计2018年市场规模4771亿美元,同比+16%。集成电路销售额占比83.25%,对应2017年3432亿美元市场,逻辑集成电路占比24.79%,对应1022亿美元市场,同比增速11.7%。

全球先进制程市场空间约300亿美元,代工市场先进制程市场空间约150亿美元。 根据Gartner,全球16nm及以下先进制程2017年占比约11%,对应市场空间约为300亿美元。根据IC Insights资料显示,2017年全球整体晶圆代工销售额为623.1亿美元,其中16/20nm以下先进制程占比24%,对应约150亿美元市场。先进制程IDM市场与代工市场份额平分秋色。

竞争 格局:摩尔定律步入极限,先进制程玩家所剩无几

先进制程进入IDM与代工两大模式竞争阶段。 半导体产业目前有两大商业模式: 1)IDM(Integrated Device Manufacture,整合器件制造商)模式 同时完成设计、制造、封测和销售四个环节。 早期的半导体制造企业为IDM模式,例如英特尔自1968年创立,为IDM模式的代表。IDM优点在于规模经济性以及对内部全流程的掌握和整合优化,产品开发时间短、厂商具备核心技术优势,多适用于大型企业;然而IDM模式长期发展带来的问题是投资规模巨大、沉没成本高,随着制程发展需不断投入新产能,对中小型公司不友好,因此产生了设计+代工的垂直分工模式。 2)垂直分工模式 则是无晶圆厂半导体设计公司(Fabless)专注于设计和营销并将生产外包于 晶圆代工厂(Foundry) , 将封装测试分工至封测厂(Outsourced Assembly and Test,OSAT)。 台积电于1987年开创晶圆代工的商业模式,推进制造与设计、封装相分离。随着制程更细微的发展趋势拉动研发、建厂开支急剧增长,推动IDM公司持续扩大委外释单,向Fab-lite(部分IDM+部分委外)、Fabless模式转移,例如英飞凌、恩智浦、意法半导体均较早采用了Fab-lite策略将部分订单转移至台积电。

英特尔为IDM先进制程传统老大,代工厂商各梯队分化明显。 英特尔自PC时代崛起,长期稳居先进制程前沿,目前逻辑芯片IDM厂商英特尔一家独大。代工厂商根据年度销售额来看,台积电在全球晶圆代工厂中一骑绝尘,市占率达55.9%,其余厂商市占率在10%以下。仅从逻辑IC制造最先进节点来看,目前主要分为三大梯队,台积电、Intel(IDM)、三星(IDM/Foundry)为三大一线梯队厂商,格罗方德、联电等紧随其后,我国大陆晶圆代工厂商主要位于第二三线梯队,其中中芯国际作为我国行业标杆,目前位于第二梯队。

先进制程龙头集中,联电、格罗方德相继放弃投资,玩家仅余三家。随着晶体管尺寸不断缩小,集成电路发热和漏电等问题凸显,保持先进的制程以及高良率需要高研发投入和设备投入,龙头集中趋势愈发明显。联电是台湾第二大晶圆代工厂,格罗方德则是2009年AMD晶圆制造部门分拆独立而成的世界第二大纯晶圆代工厂。两家公司均位列全球晶圆制造第二梯队。2018年8月联电宣布放弃12nm以下的先进工艺研发,不再追求成为市场老大,而是专注改善公司的投资回报率。联电未来还会投资研发14nm及改良版的12nm工艺,但不会再大规模投资更先进的7nm及未来的5nm等工艺。2018年8月底,继AMD宣布将7nm CPU代工移至台积电后,格罗方德宣布放弃7nm LP制程研发,将资源回收至12nm及14nm上来。由此目前全球还在研发和生产10/7nm制程的厂商仅剩台积电、三星、英特尔三家。

先进制程龙头集中 ,联 电、格罗方德相继放弃投资,玩家仅余三家。 随着晶体管尺寸不断缩小,集成电路发热和漏电等问题凸显,保持先进的制程以及高良率需要高研发投入和设备投入,龙头集中趋势愈发明显。联电是台湾第二大晶圆代工厂,格罗方德则是2009年AMD晶圆制造部门分拆独立而成的世界第二大纯晶圆代工厂。两家公司均位列全球晶圆制造第二梯队。2018年8月联电宣布放弃12nm以下的先进工艺研发,不再追求成为市场老大,而是专注改善公司的投资回报率。联电未来还会投资研发14nm及改良版的12nm工艺,但不会再大规模投资更先进的7nm及未来的5nm等工艺。2018年8月底,继AMD宣布将7nm CPU代工移至台积电后,格罗方德宣布放弃7nm LP制程研发,将资源回收至12nm及14nm上来。由此目前全球还在研发和生产10/7nm制程的厂商仅剩台积电、三星、英特尔三家 。

台 积电、三星迅速追赶英特尔,代工制造站稳塔尖

纵观发展历史,传统龙头英特尔“两年一代”不再延续,14nm节点已停留4年。 英特尔是PC时代领导市场的半导体传统龙头,其在2007年宣布了著名的“嘀嗒”(Tick-Tock)战略模式。“嘀嗒”意为钟摆的一个周期,“嘀”代表芯片工艺提升、晶体管变小,而“嗒”代表工艺不变,芯片核心架构的升级。一个“嘀嗒”代表完整的芯片发展周期,耗时两年。按照tick-tock的节奏,英特尔可以跟上摩尔定律的节奏,大约每24个月可以让晶体管数量翻一番。2015年左右,英特尔宣布采用“架构、制程、优化” (APO,Architecture Process Optimization)的三步走战略。这意味着英特尔每36个月晶体管才会翻一番。事实上英特尔自2015年起已在14nm节点停留约4年时间,从Skylake(14nm)、Kaby Lake(14nm+)、Coffee Lake(14nm++),到2018年预计推出的14nm+++,维持更新14nm制程。英特尔的10nm原计划2016年推出,但经历了多次推延,预计2019年面市。

台积电、三星快速追赶,跟上摩尔定律步伐。 台积电和三星凭借晶圆代工后来居上,获得智能手机时代苹果、高通等大客户。在英特尔宣布三步走战略的2015年,三星宣布正式量产14nm FinFET,随后台积电于2015下半年量产16nm FinFET工艺。尽管两家厂商的节点命名相对自由,但在节点标号上已经与英特尔平起平坐。此后四年英特尔反复升级14nm节点,10nm经历多次跳票。三星、台积电则于2017年量产10nm工艺,并且台积于2018年率先推出7nm工艺,执棒跟上摩尔定律步伐。

台积电先进制程是业绩成长核心,营收占比近38%,28nm以下占比超过50%。 2016年、2017年台积电28nm及以下先进制程占收入比重分别为54%、58%,2018Q2这一比重增长为61%,预计这一趋势将会持续。近几年来看,如果剔除最先进制程的收入,其余部分收入基本处于平稳下降的趋势,可见最先进制程贡献了公司主要的收入增长。更新制程的出现,对于原有制程形成一部分替代,故原有制程总体表现为平稳下降。台积电作为行业龙头公司,制程技术的持续推进成为巩固行业地位以及保持收入持续增长的必要条件。

2019年三大厂商技术水平相当,台积电占据先发优势

2 015年起国际半导体路线图不再更新,厂商节点命名更加 随意。 国际半导体技术发展路线图(ITRS)是由五个主要半导体制造国家和地区(美国、欧洲、日本、韩国、中国台湾)相关协会资助的组织,每年组织半导体产业专家组成的工作小组进行数次讨论并发布报告,对产业研发方向提出建议。但自2015年起国际半导体路线图ITRS宣布不再更新,组织更名为国际元件及系统技术路线图(IRDS),发布报告内容不再偏重芯片运算速度与性能,而偏重终端设备的需要。从28nm节点左右开始,厂商在节点代号的命名出于营销考虑变得更加自由,台积电与三星节点之间没有明显迭代关系,英特尔则始终贯彻摩尔定律的规则为新制程节点命名。

同样命名为14/16nm、10nm节点,英特尔实际性能明显领先。 从晶体管密度、栅极间距、最小金属间距、鳍片高度、栅极长度等指标来看,英特尔的14nm、10nm节点均显著优于台积电、三星同节点技术。 14/16nm方面 ,2014年发布的英特尔14nm节点每平方毫米3750万个晶体管,台积电16nm节点约为每平方毫米2900万个晶体管,三星14nm节点约为每平方毫米3050万个晶体管;英特尔14nm节点栅极长度24nm优于台积电栅极长度33nm及三星栅极长度30nm。 10nm方面 ,英特尔晶体管密度每平方毫米1.008亿个,台积电10nm节点晶体管密度每平方毫米4810万个,三星10nm节点晶体管密度每平方毫米5160万个;英特尔14nm节点栅极长度约18nm优于三星栅极长度约25nm,英特尔14nm节点鳍片高度53nm优于三星鳍片高度49nm及台积电鳍片高度约44nm。此外,英特尔各项指标均与IRDS路线图同节点标准基本相符或更优。

英特尔14nm≈台积电、三星“12nm”(即略逊于10nm);英特尔10nm=三星7nm=台积电7nm+(2019 EUV)(略高于台积电初代7nm)。 从晶体管密度来看,2014年发布的英特尔14nm节点为每平方毫米3750万个晶体管,略低于台积电每平方毫米4800万及三星每平方毫米5100万水平。英特尔10nm节点晶体管密度为每平方毫米1.008亿个,三星7nm节点为每平方毫米1.0123亿,基本持平;而台积电宣称初代7nm节点晶体管密度为16nm节点的约3倍、10nm节点的1.6倍,由此推算每平方毫米约8000万个晶体管,略低于英特尔10nm节点水平;而2019年台积电采用EUV工艺的N7+节点也将量产,披露晶体管密度提升20%,由此计算晶体管密度达到每平方毫米1亿个左右水平,将与英特尔、三星2019年量产工艺基本一致。

台积电7nm分两步走,初代非EUV工艺已于2018年量产,EUV版7nm plus预计2019年量产,与三星7nm、英特尔10nm同级。 台积电7nm节点较两代前的16FF+带来35%速度提升或功耗减少65%、晶体管密度增加3倍;相较于10nm节点带来20%速度提升或功耗降低40%、晶体管密度1.6倍。相比之下采用EUV的N7+节点将额外带来晶体管密度20%提升、10%功率减少、而没有速度提升。2018年8月份用于N7+节点的EDA软件认证已经就绪,台积电预计2019年初EUV版7nm开始爬坡。未来5nm节点相较于非EUV的7nm节点,预计晶体管密度提升1.8倍,功率减少20%,速度提升15%,或在极低阈值电压(ELTV)下速度提升25%,台积电目标2020年实现5nm量产。

2019年三大龙头工艺水平实际并驾齐驱。 尽管命名有差别,三大厂商技术水平总体是并驾齐驱的,从各项指标来看,2019年预计量产的台积电7nm EUV版节点(N7+)、三星 7nm、英特尔10nm各项参数均基本相近,主要区别在于台积电、三星采用EUV制程打造而英特尔仍采用ArF 193i多重图形化技术。台积电目前初代7nm(未采用EUV)已经量产,是市面已量产的最先进制程,时间上具有先发优势,该优势至少保持到2019年竞争对手量产,并且2019年台积电仍有望率先量产EUV版制程,保持先发优势。台积电预计2018年7nm芯片量产有超过50个流片,包括CPU、GPU、AI加速芯片、矿机ASIC、网络、游戏、5G、汽车芯片等。

以当前时点来看,台积电略占上风,未来关键看英特尔10nm量产进度。 就目前已发布技术来看,英特尔持续更新的14nm技术与台积电10nm技术大致同级,目前台积电已量产的7nm制程显著优于英特尔的14nm制程。而英特尔推迟到2019年下半年量产的10nm技术预计与台积电的2019年上半年量产的EUV版7nm制程大致相当。由此可见,目前台积电相较于英特尔在量产时间上略占上风,而实际技术储备差别不大,未来关键看英特尔10nm量产进度,若英特尔10nm如期于2019年下半年量产,台积电与英特尔同级别产品量产时间差别在半年以内;若英特尔10nm进度进一步推迟,将无疑大幅利好台积电。

延续摩尔定律,多层次新技术各显神通

业界在光刻、材料、器件、系统化设计多方面不断引入新技术。 在半导体领域 过去发展中各方面新技术不断导入,例如 65nm引入Ge strained沟道、45nm引入high-k值绝缘层/金属栅极(HKMG)、32nm第二代high-k绝缘层/金属栅工艺等,从22nm开始采用FinFET(鳍式场效应晶体管)等。未来预计可以看到从7nm节点开始 EUV 全面取代DUV光刻,5nm节点GAA 结构或成为主流,Co、SiGe、Ru、III-V族等新材料引入,3nm后引入立体结构设计等新变化。由此也可以预见研发投入及资本开支不断高涨,先进制程成为强者游戏。

光 刻工艺:两条技术路径,EUV与多重图案化

英特尔10nm进展不顺,后段多重图案曝光是主因

多重图案化(Multi-patterning)使晶圆厂得以在现有光刻技术下继续提高光刻分辨率。 光刻机分辨率所受影响因素如 公式 CD=k1*λ/NA 所示。其中CD 是可达到的最小临界尺寸,代表光刻机的分辨率;k1是多个与工艺相关参数的集合系数;λ是光波长(ArF DUV对应193nm,EUV对应13nm);NA是光刻机数值孔径。先进光刻机为了提高分辨率,会尽量减小k1,增大数值孔径,并选用更短波长。下图展示了各节点与k1参数对应关系,横轴为特征尺寸,纵轴为k1参数。黄线代表193nm波长的ArF浸没式光刻机,最右侧蓝线代表13nm波长的EUV光刻机。在IC设计不进行妥协的情况下,k1参数最小为0.6左右,在EDA软件的解析度增强技术(RET)及光学临近效应修正技术(OPC)辅助下,k1值最小可降至0.2左右,对应节点大约为30nm。如进行更小尺寸光刻,OPC修正图案会重叠,解决方案是将图形分为两个独立的光罩,即采用多重图案曝光。采用多重图案化技术后,k1值可以降至0.2以下,进一步提高光刻分辨率。

在7nm节点出现两种技术路径,既可以采用193nm波长+SAQP四重图案化达到所需分辨率,也可采用EUV+单次图案化。 下图黄线中红点处即代表采用193i浸没式光刻机+SAQP四重图案技术,对应英特尔所选择的技术路线;7nm在蓝线中蓝色区域代表采用EUV光刻机单次图案化,代表台积电和三星所选择的技术路线。在之后的5nm节点,193i光刻机技术难度更大,采用EUV双重图案化是较为合理的选择。

英特尔10nm节点一再推迟:后段采用多重四图案曝光(SAQP)良率较低可能是主要原因。 英特尔在10nm节点尽管特征尺寸等同于台积电、三星7nm节点,但未采用波长13nm的极紫外(EUV)光刻技术,而是继续采用波长193nm的深紫外(DUV)结合多重曝光进行光刻。英特尔10nm项目开始较早,原计划2016量产,当时EUV尚未成熟,故英特尔选择了SAQP的技术路径,但研发过程中遭遇困难,导致10nm一再推迟。 横向对比三家厂商前后段曝光技术,我们发现前段工艺基本相似, 鳍片形成均采用了多重四图案曝光(SAQP),栅极形成均采用了多重双图案曝光(SADP),contact层形成采用了LE(光照-刻蚀)、LELE、LELELE、甚至LELELELE的方法,三星采用了EUV方法。 在后段金属层形成方面三家厂商技术路线有明显区别, 台积电7nm采用多重双图案曝光(SADP)、三星采用EUV方法,而英特尔选择了多重四图案曝光(SAQP)的方法。由于后段M1金属层是光刻中尺寸最小的瓶颈,实质上较SADP大幅增加了难度,同时英特尔还在Contact层和M1金属层首次采用了金属Co。在此方法下,最小金属间距可以达到36nm,等同于三星采用EUV方法达到的效果,并明显小于台积电SADP方法达到的40nm。换言之,英特尔运用后段的SAQP方法,实现了同EUV一样的效果,但良率目前仅能达到50%~60%,不满足大规模量产要求,导致英特尔10nm量产时间一再推迟。

从成本角度考量,193i多重图案化在某些场景仍然是最为经济的选择。 根据东京电子测算的不同曝光工艺标准化晶圆成本,EUV单次曝光的成本是193i(DUV)单次曝光的4倍,而193i 四重图案曝光SAQP是3倍,EUV单次曝光技术的晶圆成本高于自对准四图案曝光(193i SAQP)。采用193i SAQP仍然具有成本优势。

EUV仍是7nm节点之后必然趋势

近两年内来看(2019-2020年),7nm节点后光刻技术从DUV转至EUV,设备价值剧增。 当前使用的沉浸式光刻技术波长193nm(DUV,深紫外光),而当进行7nm以下节点制造时就需采用波长13nm的EUV光刻机。根据ASML公布的路线图,EUV光刻机首先于2018年在7nm及以下逻辑芯片开始应用。在EUV设备制造过程中,由于EUV波长仅13nm,没有合适介质进行精准折射,因而所有光路设计均采用反射的形式,设计更加复杂,对精度要求极高,制造难度极大。全球只有ASML生产的 NXE3400B是唯一支持7nm及5nm的EUV光刻机,单台机器价值约1.17亿美元。

台积电拥有EUV设备最多,为ASML最大客户,三星次之。 EUV设备作为7nm以下制程必备工艺设备,对厂商最新制程量产具有至关重要的作用。由于对精度要求极高,台积电与ASML在研发上有相关技术配合。台积电与三星是ASML前两大订购客户。对于中国大陆厂商来说,并不存在“瓦森纳协议”限制向中国出口最先进EUV光刻机的情况。中芯国际目前已从ASML预定1台EUV光刻机,这对于中芯国际未来发展7nm以下技术具有积极意义。

英特尔7nm采用EUV双重曝光技术已有提前布局,仍有望按原定计划量产。 尽管193i更为经济,EUV仍是未来更先进制程不可或缺的工具。英特尔在Fab42工厂已有布局EUV,计划用于7nm及以下节点,由于英特尔7nm节点不再面临SAQP四重曝光技术难题,而是EUV双重曝光,有望重回正轨按原定计划2020年量产。

材料 :少量金属层中运用钴(Co)金属

继20年前铜取代铝后,钴(Co)成为新一轮芯片金属层材料变革核心。 伴随着晶体管体积的持续缩小,芯片中的金属接触及互连结构需变得更加纤细,由此带来两方面的挑战:一是减少电路的电阻,从而保证芯片较高运算速度;二是防止出现金属原子扩散以及金属原子电迁移,从而提高芯片耐用性。目前芯片内主流的金属材料铜和钨已经沿用了20年,1997年IBM首次实现将芯片内金属材料由当时的行业标准铝互连换为铜互连,使得导电电阻下降40%、耐用性提高了100倍,迎来了集成电路铜制程时代。 尽管相比铝具有低电阻、高耐用特点,铜、钨材料仍具有其局限 :为防止铜、钨的扩散效应及电迁移并增加与绝缘层的附着力,生产中需首先沉积一层障壁层(Barrier)及衬垫层(Liner)。当特征尺寸微缩至10纳米左右时将没有金属层的容纳空间,衬层及障壁层的厚度成了工艺微缩瓶颈。此外,铜的晶界散射很严重,影响了纳米级导线的电阻。正由于现有材料的局限性,钴作为新一代金属层材料开始运用。

特征尺寸在10nm以下时,钴(Co)较铜、钨更具优势: 由于钴的晶界散射比铜小,在特征尺寸10nm以下时钴Co的电阻比铜更小。特征尺寸在10nm左右时,若采用钨接触(Contact),金属层容纳空间主要被障壁层及衬垫层占据,实际金属层厚度将变为0;若采用钴接触,其障壁层仅 4 纳米,而实际金属层仍有 6 纳米。使用钴则可以使接触孔的特征尺寸达到5nm以下。

三家公司均采用少量钴作为衬层,英特尔率先扩大至内连接线中整体采用。 英特尔、台积电、三星均开始在关键金属层的衬层(Liner)和帽层(Cap)采用了钴材料,此前衬层采用的材料为钽/氮化钽(Ta/TaN),转换到钴/氮化钽(Co/TaN)能够改善电子迁移以及铜的扩散效应,采用钴帽层同样能够改善电子迁移。英特尔更进一步在10nm节点的M0及M1互连层金属内连接中完全采用钴金属填充,主要由于在内连接间距缩小而铜的电阻较高的情况下,钴成为低电阻的替代方案。英特尔10nm制程的金属间距为36nm,而台积电7nm制程金属间距为40nm或尚无此需求;三星金属间距同为36nm,或也将运用钴金属内连接方案。

结构设计 :2024年后转向垂直立体化发展

根据国际半导体技术发展路线图(ITRS)的后续机构国际元件及系统技术路线图(IRDS)2017年报告,2024年后芯片面积缩小的速度将明显放缓,转向垂直型晶体管或立体结构发展。 过去50多年来行业主要依靠微细化CMOS尺寸,来提高芯片和计算系统性能的发展途径,但根据IRDS预计到2024年后半导体技术将开辟不依赖CMOS微细化的新途径,主要利用垂直方向纳米线晶体管(VGAA)或3D叠层的方式(3D VLSI)。

中短期来看(2018-2024年),节点进行到7nm之后开始尝试平面纳米线/GAA技术,大致在5nm节点、3nm节点大规模应用。 根据IRDS 2017报告,在5nm和3nm节点主要采用“LGAA”即“Lateral Gate-All-Around(横向环绕式栅极)”或称作“nanowire(纳米线)”的方法,使得栅极(Gate)四面包裹沟道,实现对电流的有效控制,减少因栅极长度/沟道长度进一步缩小带来的漏电问题。在 3nm 节点之后将横向GAA结构转化为纵向GAA结构,以进一步提高晶体管密度。

制造龙头地位牵动芯片产品竞争

先进 制程竞争已成为影响CPU决定因素

工艺提升对于CPU性能提升影响明显。 工艺提升带来的作用有频率提升以及架构优化两个方面。一方面,工艺的提升与频率紧密相连,使得芯片主频得以提升(详见附录);另一方面工艺提升带来晶体管规模的提升,从而支持更加复杂的微架构或核心,带来架构的提升。根据CPU DB的数据,可以看出在芯片发展历史上,工艺提升显著带来了频率提升和架构提升的作用。随着制程节点进步,可以发现频率随工艺增长的斜率已经减缓,由于登德尔缩放定律的失效以及随之而来的散热问题,单纯持续提高CPU时钟频率变得不再现实,厂商也逐渐转而向低频多核架构的研究。

AMD先前代工厂商GlobalFoundries14nm LPP技术授权自三星,工艺水平低于Intel 14nm,但同价位产品多线程性能更高。 以AMD目前最新的Ryzen系列处理器为例,Ryzen系列于2017年3月上市,采用ZEN架构,制作工艺采用GlobalFoundries 14nm LPP,事实上GlobalFoundries 14nm FinFET技术于2014年购买自三星,在栅极间距(Gate length)/CPP(Contacted Poly Pitch)、鳍片间距(Fin Pitch)、第一层金属间距(Metal Pitch)等参数上AMD 14nm处理器均弱于Intel 14nm处理器。根据Anandtech性能测试结果,AMD Ryzen系列CPU在单线程性能方面弱于同价位Intel CPU,但由于采用了堆积更多核心的设计,实际多线程性能强于同价位Intel CPU,因而AMD Ryzen CPU实际拥有更高性价比。

目前服务器市场英特尔占据约99%市场、AMD约1%;桌面级市场英特尔约占91%、AMD约9%。 AMD在CPU市场长期位于市场第二,近几年来市场份额有显著下降。但自2017年AMD发布Ryzen新系列之后,新品获得较好反响,市场份额开始好转。2018年AMD服务器CPU市场份额已回升超过1%,个人电脑CPU市场份额亦回升至10%左右。

AMD加持台积电后,工艺水平赶超英特尔,有望持续扩张市场份额。 近期AMD宣布在7nm节点采用台积电工艺,其长期合作的代工厂GlobalFoundries放弃7nm研发。一方面,由此可见赛道壁垒持续提高,GlobalFoundries7nm技术进展已无法满足AMD需求,台积电在有限的未来先进工艺代工中难以看到对手。另一方面,CPU已经进入Fabless + Foundry阶段, 英特尔与 AMD之间的竞争实质上变为英特尔作为IDM与台积电代工工艺的竞争。由于台积电2018年Q2已量产7nm工艺,AMD有望从2019年上半年逐渐出货7nm CPU产品,而英特尔10nm预计2019下半年量产,实际出货恐延后至2020年。AMD有望工艺领先Intel一年左右,未来一年内在服务器端和个人电脑端AMD有望持续扩张市场份额,预计AMD与台积电双双获益。

C APEX不断推高,未来强者恒强

先进工艺晶圆趋势,推动晶圆代工行业技术、设备、资金壁垒不断增加。 (1)技术壁垒: 光刻技术、新材料和新工艺、新结构、工艺误差、工艺集成技术挑战不断增加。 (2)设备壁垒: 在先进制程中, EUV 光刻机的生产难度和成本都非常大,导致ASML的EUV全年出货仅12台,今年可望增加至20台,现累积订单约27台,其中有5台已被台积电预订,费款高达5.5亿美元。 (3)资金壁垒。 根据IC Insights数据,50K片/月产能的130nm工艺200mm厂需要~14亿美元投资,300mm厂需要24亿-100亿美元,未来到450mm厂投资额将高达140+亿美元。

制程领先具有正反馈效果,技术差距逐步拉大。 持续稳定增长的下游市场使得纯晶圆代工行业面临的竞争逻辑单纯,只需重点关注生产过程(如何提高良率、降低成本)。因此纯晶圆代工厂可以尽可能地做更多的资本开支,提高生产效率,实现规模经济,随着时间推移资本和技术壁垒就越来越坚实,在下游市场出现革命性变革之前,新进入者靠自身资源实现赶超的可能性就越来越小。以台积电为例,在形成了规模化生产以及先进制程的领先后,追赶者与之的差距只会越来越大。2000 年之初中国台湾晶圆代工领域还是“双雄”(另外一家是台联电),但随着台积电率先研发出 0.13 微米制程,联电研发落后,此后联电再未赶上台积电。2017 年台积电在全球晶圆代工领域市占率57.8%,联电排名第 3,但仅有 8.5%。

资本开支高企,未来保持强者恒强趋势。 从资本开支角度,2017年台积电Capex高达109亿美元,远高于其他代工厂,能够与之相竞争的晶圆厂仅有三星(242亿美元)和英特尔(132亿美元)。晶圆代工领域有极强的技术投入正反馈效应:持续的技术投入带来先进制程优势,先进制程优势带来持续的订单收入与利润,持续的订单得以支撑未来更大规模的技术投入。

先进 制程具有局限性,长效节点由此诞生

经济性决定应用与节点匹配

先进制程设备折旧成本高昂,随制程进展成本逐渐提高。 以台积电及联电为例,其成本结构中折旧费用占比接近50%,设备折旧在成本中占比最大。越先进的制程其设备进行折旧的年限越少,且由于技术先进其资本支出的金额更大,反映到成本相对更高。除设备折旧以外,更先进的制程涉及到专利使用费可能性更高(成本占比约30%),且采用的直接、间接材料成本更高(成本占比约7%),同样带来先进制程成本的提高。因而芯片设计厂商在选择制程时,需要考虑对应其应用领域,性能与成本的关系,选择合适的制程达到性能与成本的平衡点。

40nm、55nm节点包括物联网WiFi/蓝牙芯片等应用,一次投片的费用极高,节点停留时间长。 锐迪科、上海乐鑫等国内厂商WiFi、蓝牙芯片产品主要采用55nm及40nm打造,节点停留时间长达5至6年,主要原因在于流片成本较高,转移制程动力不足。 对于 40nm来说,一次流片成本在40-60万美元级别,14nm则需要200-300万美元左右,流片费主要为制作掩膜的费用。由于更高节点流片成本、设计成本均较高,在需求未发生根本性变化情况下制程转移的动力较弱,这些芯片本身大多运用在中低端领域,对于芯片性能没有大幅提升的要求,因而制程转移动力较弱。

28nm主芯片逐渐流向更低制程,需求尚待上层节点转移填补。 28nm目前多包含AP主芯片等性能性芯片,随着市场对于主芯片性能要求的提升,这些芯片的制程会跟随需求逐渐向下流动,以保持核心竞争力。随着主芯片由28nm向下转移,而其他芯片40nm、55nm向下转移不足,28nm当前部分或出现需求断层、晶圆代工厂产能过剩情况。

浅析长效节点:28nm当前具备成本优势

在设计成本不断上升的情况下,较少的代工厂客户可以负担得起转向高级节点。 根据Gartner 的数据,16nm / 14nm芯片的平均IC设计成本约为8000万美元,而28nm平面器件则约为3000万美元,设计7nm芯片需要2.71亿美元。而根据IBS的数据,28nm平面器件的设计成本大致在5130万美元,而7nm芯片需要2.98亿美元。对于多数客户而言,转向16nm / 14nm的FinFET较为昂贵。

就单位芯片成本而言,28nm优势明显,将保持较长生命周期。 一 方面,相较于40nm及更落后制程,28nm工艺在频率调节、功耗控制、散热管理和尺寸压缩方面具有显著的优势。另一方面,由于 20nm 及更先进制程采用FinFET技术,维持高参数良率以及低缺陷密度难度加大,每个逻辑闸的成本高于28nm制程。虽然高端市场会被7nm、10nm以及14nm/16nm工艺占据,但40nm、28nm等并不会退出,28nm–16nm工艺现在仍然是台积电的营收主力,中芯国际保持制程阶梯的连续性,持续提高28nm良率极为重要,预期28nm将在较长时间保持强需求工艺节点地位。

28nm需求结构由逻辑向特殊工艺演变,市场需求稳定。 2015年至2016年,28nm工艺主要应用在手机应用处理器及基带,同时,机顶盒和数字电视等市场需求不断兴起,2019年至2020年28nm工艺将渗透到混合信号产品和ISP芯片领域,不断涌现的新应用将促进28nm工艺保持较长的时间窗口。根据IBS估算,2014年全球28nm晶圆需求291万片,预计2018年将增至430万片,2024年将缓减至351万片。

中芯国际:成熟制程贡献业绩,先进制程将临突破

先进制程即将突破,成熟制程、特色工艺收入贡献大。 (1)公司28nm技术于4Q13推出,包括PolySiON、HKMG制程。28nm技术的收入贡献从2016年的1.6%增至2017年的8.0%,2018Q3略降至7.1%。第二代HKMG,即HKC+预计于2019年H1量产,有望拉动28nm收入回升。此外,公司近期14nm FinFET已开始导入客户,预计于2019年下半年量产,未来中芯国际会在保持盈利能力的前提下持续提升先进制程。(2)成熟技术节点和特殊工艺平台上,公司大力强化高性价比的产品,包括电源管理芯片PMIC、图像传感器CIS、嵌入式非易失性存储eNVM(eEEPROM、eFlash、MTP、OTP等)、微机电系统MEMS、射频RF、LCD driver等。

公司40nm至0.35μm制程技术成熟,2018Q3营收占比达92.9%,是公司最主要的盈利来源。 12英寸成熟制程方面应用处理器、视频处理芯片、WiFi蓝牙芯片为主要应用。其中40nm制程营收占比约18.7%,主要来自逻辑、射频、NAND。55/65nm营收占比约21.0%,主要来自逻辑、MCU、射频、NOR、eFlash等。8英寸方面PMIC、指纹识别、图像传感器为主要推动力。其中0.15/0.18μm营收占比最大,约39.5%,对应电源管理芯片、指纹识别芯片、图像传感器需求。0.11/0.13μm营收占比约8.7%,主要来自CIS、嵌入式存储。公司主要客户包括高通、华为、博通、FPC、格科微、兆易创新等。

预计28nm HKC+工艺2019H1正式量产。 当前28nm营收占比不高,2017年贡献7.9%,2018Q3下滑至7.1%。主要原因在于中芯国际当前28nm以较为低端的PolySion工艺为主,HKMG产能及良率尚不高,同时制程向下迁移,28nm全球产能目前处于过剩状况。28nm HKMG的升级工艺HKC+,对标台积电28nm HPC+,为28nm最后一个版本,将显著提升性能、降低功耗,有望开拓部分智能手机及物联网应用市场。

14nm预计将于2019年H2量产,应用品类“三步走”策略,打开公司成长空间。 14nm需解决FinFET技术问题,是下一步进入10nm以及7nm的关键节点。根据1Q18电话会议,14nm量产主要经历三个阶段,第一阶段是成本>ASP,第二季度成本与ASP相抵,第三阶段成本<ASP。这三个阶段需要控制产能逐步爬升,在产品品类也需要慎重选择,第一阶段主要聚焦高端客户、多媒体应用等,第二阶段聚焦中低端移动应用,并且在AI、矿机、区块链等应用有所准备。第三阶段为实现高ASP,会发展射频应用。以目前行业龙头台积电来看,14/16nm制程约占其收入25%,占比为最大,是重要营收来源。预计公司2019年成功实现14nm量产后,逐步改善营收结构,中长期为公司带来大量营收贡献。

附录

IC 设计台积电、英特尔、三星晶圆厂产能统计

工艺

指标与芯片性能的关系

制程工艺的进步可以提高芯片性能,具体来讲包括三个方面的影响:规模增大、频率提高、功耗下降。 规模主要对应工艺指标主要包括 晶体管密度、栅极间距(Gate pitch)、最小金属间距(Minimum MetalPitch) 等。频率和功耗对应指标主要包括 栅极长度(Gate length)、鳍片高度(Fin height) 等。

晶体管密度(TransistorDensity)提高,可以扩大芯片的晶体管规模,增加并行工作的单元或核心,或者缩小芯片面积,提高良率并降低单位成本。 晶体管密度指单位面积上的晶体管数量,晶体管数量是集成电路复杂性的最常见测量指标。根据摩尔定律总结,集成电路上可容纳的晶体管数目约每隔两年便会增加一倍,在单个芯片尺寸不变的情况下,晶体管密度相应提高一倍。整体晶体管规模增大使得芯片可以进行更多的并行工作,并且增加了IC设计的空间。衡量晶体管密度有多种计算方式,例如传统的采用“栅极间距×最小金属间距”或者“栅极间距×逻辑单元高度”,以及英特尔公司制程架构与集成总监Mark Bohr于2017年提出的逻辑晶体管密度公式,即“晶体管数量/平方毫米=0.6×NAND2晶体管数量/ NAND2单元面积+0.4×扫描触发器(SFF)晶体管数量/扫描触发器单元面积”。传统公式没有说明设计库中不同类型的逻辑单元及这些指标量化相对于上一代的相对密度,Mark Bohr提出的公式区分了典型设计中小单元和大单元的权重比例,更加标准化且易于比较。

栅极长度(Gate Length)越小,可使芯片的频率提高或者功耗下降。 栅极长度缩小(或者沟道长度缩小)使得源极与漏极之间距离缩小,电子仅需流动较短的距离就能够运行,从而可以增加晶体管开关切换频率,提升芯片工作频率;另一方面,栅极长度缩小、电子流动距离减小可以减低内阻,降低所需导通电压,芯片工作电压降低,由于动态功耗P=C*V^2*f,功耗与电压的平方、频率成正比,在相同工作频率下电压下降带来功耗降低。

芯片频率的提高与功耗下降两个目标此消彼长,不可兼得。晶体管的功耗包括静态功耗及动态功耗两部分,静态功耗是电路稳定时的功耗,即常规的电压乘电流,而动态功耗指电容充放电功耗和短路功耗,即晶体管在做1和0的相互转换时会根据转换频率的高低产生不同大小的功耗。根据登德尔缩放比例定律(罗伯特·登纳德与1974年提出),晶体管面积的缩小使得其所消耗的电压以及电流会以差不多相同的比例缩小,即如果晶体管的大小减半,该晶体管的静态功耗将会降至四分之一(电压电流同时减半)。在产业初期根据登纳德缩放比例,设计者可以大大地提高芯片的时钟频率,因为提高频率所带来的更多的动态功耗会和减小的静态功耗相抵消。大概在2005年之后,漏电现象的出现打破了原先登纳德所提出的定律,使得晶体管在往更小工艺制作时候的静态功耗不减反增,同时也带来了很大的热能转换,使得芯片的散热成为了急需解决的问题。因而芯片已无法继续在增加频率的同时降低总体功耗,根据动态功耗P=C*V^2*f可以得出,频率提高与功耗下降两个目标的关系是此消彼长的,需要根据芯片设计可以在两者之间寻求平衡。

FinFET结构中提高鳍片高度(Fin Height),可以减少漏电的发生,进一步提高性能或降低功耗。 在栅极长度(或沟道长度)缩小到一定程度后,量子隧穿效应就会变得极为容易,会产生较大的电流泄漏问题,故而引入FinFET即鳍式场效应晶体管技术,晶体管从2D平面结构进入3D鳍式结构。在FinFET结构中,三个表面被栅极围绕,能有效控制泄漏。提高鳍片高度,栅极对电流的控制能力更强,可控性的提高使得栅极能够使用更低的电压来切换开关,使用更少能量即可以开启/关闭。同时电子在三个表面流动,增加了流动电子量,进一步提高了性能。

风险因素

行业景气周期下行风险。技术研发及项目进展不及预期风险。

行业投资策略及重点公司推荐

全球半导体行业市场规模持续扩张,先进制程领域追随摩尔定律成为重要成长驱动力。 中长期来看AI、物联网、5G、新能源汽车等新兴方向有望带来新一轮量价齐升的景气周期。维持行业“强于大市”评级。预计AMD依托台积电工艺领先优势及高性价比策略有望在PC及服务器端与英特尔争夺市场份额;同时英特尔仍拥有扎实的技术储备,其10nm后续进展值得关注,建议关注AMD、台积电、英特尔。鉴于先进制程对于核心芯片的重要意义,预计国内针对先进制程研发将有持续的政策支持及资金投入,建议关注国内积极研发先进制程的IC制造企业,推荐中芯国际。

AMD

CPU处理器份额在PC与服务器市场持续提升。 AMD在x86 CPU处理器与显卡GPU芯片领域均为行业第二名,2017年公司营收53亿美元,相比英特尔的628亿美元与英伟达的97亿美元营收规模差距明显,2017年公司净利润4300万美元,为连续5年亏损后首度盈利。2018前三季度公司营业收入达50.56亿美元,同比+29.21%,净利润2.99亿美元。2017年AMD推出开发近5年的Ryzen处理器,以及Radeon Vega架构GPU,大幅提升产品性能,市场反映良好。恰逢英特尔i7第八代产品递延,市场预期公司在2018年可望重回2成的PC处理器市场份额,以及近3成的独立显卡的市场份额。全球半导体行业市场规模持续扩张,先进制程领域追随摩尔定律成为重要成长驱动力。中长期来看AI、物联网、5G、新能源汽车等新兴方向有望带来新一轮量价齐升的景气周期。维持行业“强于大市”评级。预计AMD依托台积电工艺领先优势及高性价比策略有望在PC及服务器端与英特尔争夺市场份额;同时英特尔仍拥有扎实的技术储备,其10nm后续进展值得关注,建议关注AMD、台积电、英特尔。鉴于先进制程对于核心芯片的重要意义,预计国内针对先进制程研发将有持续的政策支持及资金投入,建议关注国内积极研发先进制程的IC制造企业,推荐中芯国际。

近期GPU销售环比下滑抵消CPU收入增长。 公司认为,目前渠道的GPU库存水位较高,影响相关产品销售,环比出现下滑,抵消了处理器产品的增长;2018Q3公司整体计算与图形业务营收9.4亿,低于市场预期的10.5亿。我们认为公司GPU业务表现与下季度指引可能短期降低公司的增长预期。

EPYC处理器突破英特尔垄断服务器局面。 服务器领域的企业客户,一向以芯片的性能与功耗为主要考量。由于AMD过去在高阶产品的布局不足,公司市占率由2006年的25%,下滑至2014年的1%以下。2017年,公司推出高阶的服务器处理器霄龙(EPYC),市占率在2018年Q2重新回到1%以上,并持续增长,带动整体板块业绩。

高阶产品将由台积电代工,或在制程上拉近与英特尔距离。 由于AMD主要的芯片代工厂格罗方格(GlobalFoundry),决定递延7nm制程的开发,公司下一代的CPU与GPU 等产品,将由台积电负责代工。由于台积电的制程能力优于格罗方德,与英特尔为同一水准,加之英特尔10nm制程料将推延至2019年下半年量产,届时可望缩小AMD与英特尔的产品性能差距。AMD已于2018年11月亮相两款基于台积电7nm工艺的CPU/GPU产品,分别为“Rome”和“Vega 20”。Rome基于AMD Zen 2架构,将用在第二代EPYC霄龙处理器上,而Vega 20将用于Radeon Instinct加速显卡和Radeon Pro专业显卡,均优先用于服务器市场。AMD 7nm消费级产品预计2019年上半年推出。

风险因素: 行业景气周期下行,英特尔加大竞争力度,数据中心与深度学习芯片竞争加剧。

盈利预测: 根据Bloomberg一致预期,公司在2018/19/20年的EPS预测分别为0.34/0.54/0.97美元。对应2018年11月16日股价,分别为61/38/21倍PE。

台积电

半导体垂直分工开创者,驱动设计环节与制造分离。 首创晶圆代工模式,降低芯片业者进入门槛,间接催生了无产线(Fabless)的纯设计公司,加速半导体行业演化。台积电创始人张忠谋,预见半导体专业分工趋势,在1987年结合台湾工研院与半导体巨头飞利浦成立公司;成立以来,坚守代工路线,建立客户长期合作;研发与设备投入冠于行业,确保制程技术领先。2018年全球晶圆代工规模估计为590亿美元,公司约占58%份额;营收毛利率约49%,净利率约34%,盈利能力远高于行业水平。

与产业链及学术界积极合作,积累技术优势与产品线覆盖 。 公司与客户紧密合作,共同开发产品与制程技术;并且善用学界人才,将实验室研发成果快速转化为实际量产能力。同时逐年扩大资本投入,扩大产品线的技术覆盖与产能规模。截止2018年,公司共有4座12寸晶圆厂、7座8寸厂、1座6寸厂,总产能超过1,100万片12寸晶圆相当;同年资本支出约百亿美元,金额远超同业,保持先进制程的领先地位。

制程领先,打造大量投入与高阶订单的正向循环。 截至2018年Q3,仅有台积电、三星、英特尔3家晶圆厂,具备10nm及以下先进制程量产能力,而台积电的代工份额最高,相关营收占比达7%。公司的核心业务为28nm,制程良率在90%以上;10nm以下的逻辑芯片,公司的开发进度领先对手,已于2018年量产7nm,进一步扩大先进制程占比。此外,公司的晶圆级及扇出式等先进封装技术成熟,适合应用在高阶芯片,推升性能优势与垂直整合空间。

数据时代的新兴场景推升半导体需求,晶圆代工维持增长动能。 IC Insights预估,手机、物联网、汽车用芯片,2016-2021年CAGR分别高达7.8%、13.2%、13.4%;此外,人工智能的计算需求、巨量数据的运算处理也将大幅驱动高性能计算发展,提升高端芯片需求。预计2016-2021年晶圆代工市场CAGR为7.6%,2021将增长至721亿美元,公司将持续受益。受益于智能手机、加密货币矿机、AI芯片等终端需求,公司2018年前三季度营收达7417亿新台币(+5.98%),创历史新高。

风险因素: 终端需求增速减缓,下游新兴应用需求不及预期,产能扩张、新技术研发不及预期。

盈利预测: 根据Bloomberg一致预期,公司在2018/19/20年的EPS预测分别为13.50/15.03/16.76新台币。对应2018年11月16日股价,分别为17/15/13倍PE。

英 特尔

全球CPU龙头,业务向数据中心物联网转型。 英特尔公司是全球最大的x86架构CPU制造商,成立于1968年,具有50年产品创新和引领计算机硬件市场的历史。近年来,随着PC市场、移动终端市场渐于饱和,公司基于主业积极谋求战略转型,将业务拓展至数据中心、物联网、人工智能等领域。公司2017年营业收入/归母净利润分别为627.61亿美元/99.85亿美元,毛利率/净利率分别为62.25%/15.30%。公司2018年前三季度营业收入521.91亿美元,其中PC板块收入271.82亿美元,占比52%,数据中心板块收入169.22亿美元,占比32%,物联网及非易失性存储事业部收入分别占比5%、6%。受益于全球PC市场的复苏,2018Q3 PC板块实现收入102亿美元,同比+16%;受益于云厂商资本支出的持续高增长,2018Q3数据中心相关收入61亿美元,同比+26%,其中来自云厂商收入同比+50%;Mobileye当季收入1.91亿美元,同比+50%,增长势头强劲。

14nm产能紧张短期影响毛利,10nm先进工艺数度推迟。 全球PC市场出货量连续6年下滑后于2018Q2首度出现复苏、云服务厂商资本支出持续高增长等因素导致CPU市场需求旺盛;另一方面,英特尔为iPhone独家供应基带芯片挤占部分14nm产能。公司在电话会议中表示,2018Q4产能仍将维持紧约束,公司目前已将部分10nm设备移至14nm产线,并策略性优先生产高端PC处理器、服务器处理器,降低低端PC、IOT相关芯片生产优先级,同时预计IOTG收入Q4环比-15%。10nm设备折旧增加、以及存储芯片、基带收入占比提升等预计将影响Q4毛利率水平。英特尔10nm工艺晶体管密度与台积电、三星两家采用EUV设备的7nm工艺晶体管密度同级,公司再次强调10nm工艺正按预期进展,并将在2019年底前实现批量发货。

布局新型高性能芯片,发力深度学习、机器视觉领域。 传统CPU在深度学习、神经网络服务器等性能表现不佳。2017年,英特尔推出至强Xeon Phi新型芯片,可处理深度学习专有的某些指令,无需集成外部处理器(避免使分析速度放缓),为机器学习模型训练提供强劲性能。2015年Intel以167亿美元收购FPGA 厂商Altera,FPGA并非AI落地的最主要芯片形式,目前全球市场约50亿美元,Intel正开发统一的接口,试图将Altera的FPGA与Intel至强系列处理器封装到一颗芯片,相对传统处理器、独立 FPGA而言,预计新型一体化芯片最初性能将提升30%-50%,最终性能将提升至2-3倍。2016年英特尔收购计算机视觉开发商Movidius,其VPUs(视觉处理器, Vision Processing Units)优化了计算机视觉神经网络技术,被用于Google、大疆、联想等公司,为无人驾驶飞机、安全摄像头、AR/VR等智能设备提供视觉功能。预计未来Movidius 计算机视觉技术将与Intel ADAS高级驾驶助手系统深度交融,加速Intel车联网及无人驾驶项目的推进。

风险因素: 公司短期产能扩张不及预期,10nm工艺进展不及预期,全球PC市场、云厂商资本支出增速减缓,市场竞争持续加剧。

盈利预测: 根据Bloomberg一致预期,公司在2018/19/20年的EPS预测分别为4.51/4.43/4.63美元。对应2018年11月16日股价,分别为11/11/11倍PE。

中 芯国际

中国大陆晶圆代工龙头企业,成熟制程增长稳定,先进制程突破在即。 中芯国际耕耘晶圆代工18年,铸就中国第一、全球第五大晶圆代工厂。2017年收入31.1亿美元、净利润1.8亿美元、毛利率23.89%、净利率4.06%。公司12英寸及8英寸晶圆产能均为国内第一,技术横跨0.35um至28nm,为国内首家提供28nm制程代工企业,随着梁孟松亲自督军研发、厂房建设顺利,14nm预计2019H2量产。我们预计在先进制程与成熟制程并举战略指引下,公司将持续实现跨越式成长。

坐拥国内外优质客户,成熟制程产品稳定贡献营收。 公司40nm及以上工艺营收占比达91.4%。具体来看0.25/0.35μm占比3.8%,0.15/0.18μm占比37.1%,0.13μm占比7.6%,90nm占比1.2%,55/65nm占比24.2%,40/45nm占比17.5%,28nm占比8.6%。其中0.15/0.18μm对应公司最大下游应用电源管理、指纹识别、图像传感器,近期受8英寸代工价格景气利好,产能利用率提升。65/55nm对应射频芯片、NOR Flash、MCU等应用,40/45nm对应逻辑处理器、射频芯片、NAND Flash等应用。公司拥有高通、博通、安森美、FPC、华为海思、兆易创新、格科微等长 期合作的优质客户,产品线多样,驱动营收稳定增长。

持续资本投入下,中芯国际有望缩小与全球一线大厂差距。 中芯国际为全球第四大纯晶圆代工厂,前三分别为台积电、格罗方德、联电。公司2017在盈利能力、资本开支已经赶超联电(毛利率24%>18%、资本开支24.9亿>14.9亿美元),2018年公司继续投入20亿美元资本支出,其中12亿美元投入北京、上海12英寸厂先进制程以及深圳、天津8英寸厂产能扩充,4亿美元用于研发设备,公司Q1已预订一台ASML顶级EUV光刻机,价值1.2亿美元。随着持续高资本支出扩张产能及研发投入,公司与一线大厂的营收规模及技术水平正在持续缩小。

先进制程进展顺利,28nmHKC+与14nm打开公司发展空间。 公司第一代FinFET技术进入客户导入阶段,14nm研发取得重大进展。28nmHKC+、14nm预计分别于2019H1、2019H2量产。28nm HKC+在性能及功耗控制优于目前已量产的28nm PolySion工艺,对标台积电28nm的高端HPC+工艺,有望开拓部分智能手机、数字电视机顶盒及物联网应用市场,14nm FinFET目前是全球第三顶级制程,可用于中高端智能手机、AI、矿机、射频应用,可解决制程进步带来的应用下移,跟上行业步伐,保持公司持续竞争力。

责任编辑:Sophie

相关文章

半导体行业观察
摩尔芯闻

热门评论