国产光刻机水平究竟如何?

2019-01-05 14:00:02 来源: 半导体行业观察

来源:内容来自「西南证券」,谢谢。


半导体芯片制作分为 IC 设计、 IC 制造、 IC 封测三大环节, 光刻作为 IC 制造的核心环节,其主要作用是将掩模版上的芯片电路图转移到硅片上。 由于光刻的工艺水平直接决定芯片的制程水平和性能水平,光刻成为 IC 制造中最复杂、最关键的工艺步骤, 光刻的核心设备——光刻机更是被誉为半导体工业皇冠上的明珠。


IC 制造工艺流程


什么是光刻?


光刻工艺是指光刻胶在光照作用下,将掩模版上的图形转移到硅片上的技术。 光刻的原理起源于印刷技术中的照相制版,是在一个平面上加工形成微图形。 在半导体芯片制作过程中, 电路设计图首先通过激光写在光掩模版上,然后光源通过掩模版照射到附有光刻胶的硅片表面,引起曝光区域的光刻胶发生化学效应,再通过显影技术溶解去除曝光区域或未曝光区域,使掩模版上的电路图转移到光刻胶上,最后利用刻蚀技术将图形转移到硅片上。


光刻胶极性与效果示意图


光刻根据所采用正胶与负胶之分,划分为正性光刻和负性光刻两种基本工艺。 在正性光刻中,正胶的曝光部分结构被破坏,被溶剂洗掉,使得光刻胶上的图形与掩模版上图形相同。相反地,在负性光刻中,负胶的曝光部分会因硬化变得不可溶解,掩模部分则会被溶剂洗掉,使得光刻胶上的图形与掩模版上图形相反。


普通光刻技术(正性光刻)


为了追求更小的工艺节点,在普通光刻之上已开发出多重图案光刻工艺,用来增加图案密度, 最简单的多重图案工艺是双重图案,它将特征密度提高了两倍。最广泛采用的双图案化方案之一是双曝光/双蚀刻(LELE)。该技术将给定的图案分成两个密度较小的部分。通过在光刻工艺中曝光光刻胶,然后蚀刻硬掩模,将第一层图案转移到下面的硬掩模上。然后将第二层图案与第一层图案对准并通过第二次光刻曝光和刻蚀转移到硬掩模上。最终在衬底上进行刻蚀,得到的图案密度是原始图案的两倍。


双重图案技术


自对准双重图案(SADP)技术是通过沉积和刻蚀工艺在心轴侧壁上形成的间隔物。然后通过一个额外的刻蚀步骤移除心轴,使用间隔物来定义所需的最终结构,因此特征密度增加了一倍。 SADP 技术主要用于 FinFET 技术中的鳍片形成、线的互连以及存储设备中的位线/字线的形成,其关键的优点在于避免了在 LELE 期间时可能发生的掩模不对齐。


双重图案技术中的自对准间隔技术


将 SADP 加倍可以得到四重图案化工艺 (SAQP)。 193nm 浸没式光刻的 SADP 可以实现~20nm 的半间距分辨率,但是 SAQP 可以实现~10nm 的半间距分辨率。


自对准间隔技术的四重图案化


光刻工艺定义了半导体器件的尺寸, 是 IC 制造中的关键环节。 作为芯片生产流程中最复杂、最关键的步骤,光刻工艺难度最大,耗时最长,芯片在生产过程中一般需要进行 20~30次光刻,耗费时间约占整个硅片工艺的 40~60%,成本极高,约为整个硅片制造工艺的 1/3。一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、 刻蚀、检测等工序。


光刻机:光刻工艺的核心设备


光刻机是光刻工艺的核心设备,价值含量大、技术要求高。 光刻是 IC 制造中的关键环节,工艺难度最大,对技术和设备的要求也最高。光刻机作为光刻环节的核心设备,也是所有半导体制造设备中技术含量最高的设备,涉及精密光学、精密运动、高精度环境控制等多项先进技术,其设备投入相应最多,目前世界上最先进的 ASML EUV 光刻机单价达到近一亿欧元。


光刻机工作原理图


光刻机工作原理: 光刻机是一种投影曝光系统,由紫外光源、光学镜片、对准系统等部件组装而成。在半导体制作过程中,光刻设备会投射光束,穿过印着图案的掩模及光学镜片,经物镜补偿各种光学误差, 将线路图曝光在带有光感涂层的硅晶圆上, 然后使用化学方法显影,得到刻在硅片上的电路图。在光刻机内部结构中,激光器作为光源发射光线,物镜系统补偿各种光学误差,是光刻机的核心设备,也是光刻机造价昂贵的重要原因,光刻机物镜系统一般由 15~20 个直径为 200~300mm 的透镜组成。


光刻机价格路线图


按半导体制造工序分类,光刻设备有前道和后道之分。其中前道光刻机又可根据下游适用产品分为面板光刻机和芯片光刻机,而后道光刻机则为封装光刻机。封装光刻机对于光刻的精度要求远远低于前道光刻要求,因此价值量也较低,不属于本文探讨之列。而面板光刻机与芯片光刻机工艺类似,只不过不再作用于晶圆而是作用于薄膜晶体管,对技术精度要求不如后者,只需要达到微米级即可。本文主要关注 IC 前道制造光刻技术的演变。


尺寸更小的芯片,在电子速度一定的情况下,信号传递的速度就会越快,在一定时间内传输的信息就会越多。随着芯片尺寸的变小,相同面积下可以承载更多的晶体管,高集成度则意味着芯片的高性能。可见晶体管的尺寸对于芯片的性能具有重大意义,而光刻机决定了晶体管的尺寸。随着半导体产业的向前发展,不断追求着尺寸更小、速度更快、性能更强的芯片,摩尔定律提出:当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18-24 个月便会增加一倍,性能也将提升一倍。正是半导体行业对于芯片的不断追求推动了光刻机产品的不断升级与创新。


光刻机曝光分类


按曝光方式分类, 光刻机可分为直写式光刻、 接近接触式光刻和投影式光刻三种。 直写式由于曝光场太小,通常用于制作掩模板;接近接触式是指光刻胶与掩模板接触或略有缝隙,受气垫影响,成像精度较低;投影式是指在掩膜板与光刻胶之间使用光学系统聚集光实现曝光,进一步提高分辨率。芯片追求更快的处理速度,则需要缩短晶体管内部导电沟道的长度,而光刻设备的分辨率决定了 IC 的最小线宽。因而,光刻机产品的升级就势必要往更小分辨率水平上发展,光刻机演进过程是随着光源改进和工艺创新而不断发展的。


光刻机设备进阶历程


根据所用光源改进和工艺创新,光刻机经历了 5 代产品发展,每次改进和创新都显著提升了光刻机所能实现的最小工艺节点。 前两代均为接触接近式光刻机,曝光方式为接触接近式,使用光源分别为 g-line 和 i-line,接触式光刻机由于掩模与光刻胶直接接触,所以易受污染,而接近式光刻机由于气垫影响,成像精度不高;第三代为扫描投影式光刻机,利用光学透镜可以聚集衍射光提高成像质量将曝光方式创新为光学投影式光刻,以扫描的方式实现曝光,光源也改进为 KrF 激光,实现了跨越式发展,将最小工艺推进至 180-130nm; 1986 年ASML 首先推出第四代步进式扫描投影光刻机,采用 ArF 激光光源,通过实现光刻过程中掩模和硅片的同步移动和缩小投影镜头,将芯片的最小工艺节点提升一个台阶。


步进扫描投影式光刻机


此外双工作台、沉浸式光刻等新型光刻技术的创新与发展也在不断提升第四代光刻机的工艺制程水平,以及生产效率。 2001 年 ASML 推出了双工作台系统(图 5),将测量、对准与光刻流程相分离,实现曝光与预对准同时进行,大幅提高了生产效率。而浸没式光刻工艺更成为 ASML 强势崛起的转折点。


光刻工艺结构对比简图


与传统光刻技术相比,浸没式光刻技术需要在光刻机投影物镜最后一个透镜下表面与硅片光刻胶之间充满高折射率的液体,以提高分辨率;目前主要有三种液体浸没方法:硅片浸没法,工作台浸没法,局部浸没法,业界多采用局部浸没法。


局部浸没法示意图


尼康、佳能由盛转衰, ASML 强势崛起。 在 45nm 制程下 ArF 光刻机遇到了分辨率不足的问题,业内对下一代光刻机的发展提出了两种路线。一是开发波长更低的 157nmF2 准分子激光做为光源, 二是林本坚(台积电研发副总经理)提出的浸没式光刻。


45nm 制程下一代光刻技术两种路线


2002 年以前,业界普遍认为 193nm 光刻无法延伸到 65nm 技术节点,而 157nm 将成为主流技术,但 157nm光刻技术同样遭遇到了来自光刻机透镜的巨大挑战。在时代的十字路口上, TSMC 提出了193nm 浸入式光刻的概念,尼康、佳能则倒向了开发波长更低的光源;随着 ASML 与台积电合作开发,于 2007 年成功推出第一台浸没式光刻机。 193nm 光波在水中的等效波长缩短为 134nm,足可超越 157nm 的极限,193nm 浸入式光刻的研究随即成为光刻界追逐的焦点。


到 2010 年, 193nm 液浸式光刻系统已能实现 32nm 制程产品,并在 20nm 以下节点发挥重要作用,浸没式光刻技术凭借展现出巨大优势,成为 EUV 之前能力最强且最成熟的技术。


从液浸式到 EUV,第五代光刻机迎头赶上。 前四代光刻机使用都属于深紫外光, ArF 已经最高可以实现 22nm 的芯片制程,但在摩尔定律的推动下,半导体产业对于芯片的需求已经发展到 14nm,甚至是 7nm,浸入式光刻面临更为严峻的镜头孔径和材料挑战。第五代 EUV光刻机,采用极紫外光,可将最小工艺节点推进至 7nm。


EUV 工作原理示意图


EUV 的发展过程面临有五大问题,这也是 EUV 造价极其昂贵的重要原因。


第一,真空环境约束。光蚀刻系统制造的精细程度取决于很多因素。但是实现跨越性进步的有效方法是降低使用光源的波长。几十年来,光刻机厂商的做法都是将晶圆曝光工具从人眼可见的蓝光端开始逐渐减小波长,直到光谱上的紫外线端(UV)。ASML 最终选择的 13.5nm 波长射线,可以轻易地被很多材料吸收,所以 EUV 光刻机只能在真空下运行。


第二,弯曲射线。由于 EUV 能被玻璃吸收,所以必须在机器中改变其走向,如此一来则必须用反射镜来代替透镜,而且必须使用布拉格反射器(一种多层镜面,可以将很多小的反射集中成一个单一而强大的反射)。


第三,强大光源。一个 EUV 光束在经过长途跋涉后,只有不到 2% 的光线能保留下来。为了减少成本,射线光源必须足够强,这个强度需要达到中心焦点功率达到 250W。这种强度的光可以使机器每小时处理约 125 个晶片,其批量处理的效率仅有现今使用的高级193nm 技术的一半。目前全球最领先的技术也不过是能够在实验室中实现 200W 功率(ASML2017 年上半年实现)。


第四,独特光刻胶。现有的光刻胶是化学放大光刻胶,由分子链聚合而成,可以增强入射光子的效果。但这些材料对 EUV 的吸收效果并不好。此外,由于入射光引起的放大反应在材料内部散射,光刻胶形成的图像会有轻微模糊。


第五,保护掩模板。 在 193nm 液浸式光刻机中,掩模版由一层被薄膜(即护膜)保护着,这层薄膜距离掩模版有一点悬空的距离,像保鲜膜一样紧绷在上方,其作用在于当灰尘落在护膜上时影响聚焦而不能在晶圆上形成图案,因此不会损坏整个晶圆。但 193nm 的护膜不适用于 13.5nm 的光, EUV 会损坏护膜,若不使用护膜则很可能是最终良率为 0。所以解决这个难题的关键在于研究制造出能够抵抗 EUV 破坏的护膜。


EUV 研发五大难题


事实上, ASML 从 1999 年就已开始 EUV 光刻机的研发工作,但由于上述五大难题,难以支付高昂的研发费用,其三大客户三星、台积电和英特尔加大投资 52 亿欧元,积极支持 EUV 的研发和生产。原计划在 2004 年推出产品,直到 2010 年 ASML 才研发出第一台EUV 原型机, 2016 年才实现下游客户的供货,比预计时间晚了十几年,也正是这一滞后使得摩尔定律的更替时间从理论上的 18-24 个月延长至 3-4 年。 目前, ASML 在 EUV 技术上具有绝对领先地位。


光刻机上下游市场。 从光刻机结构来看,它由光源、光学镜片和对准系统等部件组成,其工艺中十分关键的两个元素是光刻胶和掩膜版。而光刻处理后的晶圆片再经刻蚀和沉积等过程制成芯片成品,用于电脑、手机等各种设备之中。下游旺盛的终端市场需求决定了光刻设备必然也面临巨大的需求。目前光刻系统市场供给远远不足需求,很重要的原因在于上游原材料/部件精度不符要求,譬如上文总结出的 EUV 面临的五大问题(光源功率、掩膜版、光刻胶、镜头等)都是上游技术难关。


除了来自蔡司的镜头的供应不足之外,还有设备上的芯片保护膜仍需要改进。 此外,光刻作用基础硅片/硅基材纯度要求极高,通常 11 个 9(即99.999999999%)的级别以上。光刻设备厂商的下游客户主要在于存储和逻辑芯片制造商。我们认为未来下游内存市场需求将继续保持强劲,存储芯片尤其是 DRAM 价格仍然持续增长。


光刻机上下游市场产业链及关键企业


全球局势:三分天下,高端市场一家独大


从全球角度来看, 高精度的 IC 芯片光刻机长期由 ASML、尼康和佳能三家把持, 从2011-2017 历年全球光刻机出货比例可以看出, ASML,尼康,佳能三家公司几乎占据了 99%的市场份额,其中 ASML 光刻机市场份额常年在 60%以上,市场地位极其稳固。


2011-2017 年全球光刻机出货比例


顶级光刻机市场 ASML 一家独大。 2011-2017 年顶级光刻机累计出货量中, EUV 完全由 ASML 垄断,出货来源达到 100%, ArFi 光刻机超过 80%也都由 ASML 提供。英特尔、台积电、三星用来加工 14/16nm 芯片的高端光刻机均来自 ASML。 相对而言,尼康和佳能的先进制程远落后于 ASML,主要市场在中低端,最大优势仅在于成本,很多同类机型价格甚至低于 ASML 的 1/2。


2011-2017 年三大公司各品类累计出货量(单位:台)


2011-2017 年光刻机各品类累计出货量来源


2017 年光刻机各品类出货量及来源(单位:台)


(一)ASML:高端光刻机垄断者


ASML Holding NV(ASML)是世界领先的半导体设备制造商之一,总部位于荷兰,向全球复杂集成电路生产企业提供领先的综合性关键设备。 它为亚洲, 欧洲和美国的半导体生产商提供提供光刻机及相关服务。它还为客户提供一系列的支持服务,包括先进的工艺和产品应用知识,并以二十四小时服务支持。 2006 年, ASML 交付第一台光刻机; 2007 年成功推出第一台浸没式光刻机 TWINSCANXT:1990i,采用折射率达到 1.44 的去离子水做为媒介,实现了 45nm 的制程工艺,并一举垄断市场。当时的另两大光刻巨头尼康、佳能主推的157nm 光源干式光刻机被市场抛弃。


ASML 产品升级历程


外延并购,加速研发。 ASML 为加速 EUV 发展, ASML 于 2013 年 5 月以 31 亿欧元收购 Cymer。 2016 年, ASML 终于实现首次发货 EUV,并预计在 2018 年可实现最新的微处理器和存储器的批量生产。同时, 2016 年 6 月收购拥有最先进的电子束检测技术厂商 HMI,与 ASML 现有曝光技术互补,有助于控制半导体产业良率。 2017 年,以 24.8%股权收购镜头老字号生产商卡尔蔡司,进一步为其 EUV 光刻设备的镜头部分提供竞争力。


公司的主要产品是光刻系统,也称为扫描仪,有 PAS5500 和 TWINSCAN 系列产品,从低端到高端系列依次为 XT, NXT 和 NXE。另外近年来还推出测量工具 YieldStar。 其技术实力在光刻设备领域遥遥领先, 根据半导体行业观察数据, 45nm 以下的高端光刻机的市场中,占据 80%以上的份额,尤其在极紫外光(EUV)领域,目前处于垄断地位。


公司主要系统型号及其工艺特征


ASML 2018Q1 实现营收 22.85 亿欧元,主要来自系统销售,占比 73%;其中 ArF 浸没式设备贡献 72%的营收, KrF 型以 14%的贡献居于第二。 平均来说, ASML 中高端设备单台售价超过 7000 万美元,高端 EUV 设备单台售价超过 1 亿美元。 从 Q1 业务拆分情况看出,高价值的 EUV 销售量仅一台就贡献 7%的营收,公司预计 2018 全年 EUV 收入将达到 21 亿欧元。从终端市场看来,主要下游市场在于存储芯片,营收 1227 百万欧元,占比达 53.7%,较之 2017 年的 32.8%有很大提升。


FY2017 前三大下游市场是韩国、台湾、美国,占比分别达到 34%、 24%、 17%,主要原因在于 ASML 的三大主要客户为三星、台积电和英特尔。 大陆市场位居第四,营收占比为 10%,达 9.21 亿欧元。到 2018Q1, 格局发生了较大变化, 韩国市场比重跃升到 51%,主要原因在于三星加大 EUV 投资。大陆市场购买高端机型的限制逐渐放开,占比提升到 20%,美国和台湾市场占比有较大下滑。


ASML 2013 年以来毛利率和净利率


公司营业收入和净利润始终保持较高水平,但变化幅度较大。 自 2016 年真正意义上推出 EUV 设备后,营收和净利润实现大幅增长。自 2013 年以来毛利率和净利率均实现稳步增长, 18Q1 毛利率达 48.7%,净利率达 23.6%。


其中系统设备尤其是光刻设备贡献在各个季度均超过 60%, 2018Q1 设备营收环比有所下降, 但同比实现 37.2%增长 YoY。光刻机订单量与订单额有类似的趋势。


ASML 在光刻设备市场具有不可撼动的霸主地位,尼康和佳能难以与之抗衡的一大重要原因在于其积极研发和开放式创新发展思路,在新品研发和工艺改进上充分发挥其网络创新优势,比佳能和尼康的“孤岛式”研发模式更具效率和灵活性。


(二)尼康:发挥面板光刻比较优势


尼康是日本的一家著名相机制造商,成立于 1917 年,当时名为日本光学工业株式会社。 1988 年该公司依托其照相机品牌,更名为尼康株式会社。最早通过相机和光学技术发家, 1980 年开始半导体光刻设备研究, 1986 年推出第一款 FPD 光刻设备,如今业务线覆盖范围广泛。尼康既是半导体和面板光刻设备制造商,同时还生产护目镜,眼科检查设备,双筒望远镜,显微镜,勘测器材等健康医疗和工业度量设备。


在 FPD 光刻方面,尼康则可发挥其比较优势, 尼康的机器范围广泛,从采用独特的多镜头投影光学系统处理大型面板到制造智能设备中的中小型面板,为全球领先的制造商提供多样化的机器。


尼康主要光刻设备产品及工艺


尼康 FY2017 营收 5.25 千亿日元,同比下降 7.2%,在成像产品和精密设备(光刻设备)领域利润均有增长,经营利润达 4.15 百亿日元,增长 123.2%,归母净利润达 2.23 百亿日元,增长 56.7%。 2018Q3 营收下降 11%,由于成像产品和 FPD 及芯片光刻设备单位产品销售额下降,但成像产品业务高附加值产品和精密设备领域的重大技术突破带来了经营利润上涨。预计全年营收下降 7%,主要在于 FPD 光刻设备单位产品销售额下降,但成像产品和芯片光刻设备扭转了上半年的败局,使得经营利润增加 124%。


尼康 FY2008 以来光刻设备营收及占比


尼康虽然在芯片光刻技术上远不及 ASML,目前的产品还停留在 ArF 和 KrF 光源, 且售价也远低于 ASML,和 EUV 更加难以相提并论。但目前其盈利性也很大程度上依赖光刻设备,尤其是芯片光刻设备。虽然研发投入也持续增长,但其中对于光刻设备的投入比重却在下降。


(三)佳能:光电为主,光刻为辅


佳能是日本的一家全球领先的生产影像与信息产品的综合集团, 1937 年凭借光学技术起家、并以制造世界一流相机作为目标,此后逐渐进入复印机、打印机、光刻设备和机器视觉市场,如今业务已经扩展到各个领域并成功全球化。佳能目前有四大业务线,即办公设备(包括打印机、复印机等)、成像系统(相机及其零配件)、工业设备(包括芯片光刻机、面板光刻机、网络摄像头和商用打印机等)以及医疗系统(包括视网膜相机、角膜曲率机等)。佳能最早从 1970 年开始光刻相关业务,但近几年来并无技术突破,推出的新产品均非光刻设备领域。


单看佳能工业设备销售业绩,整体上处于上升态势,但光刻设备的比重越来越低,尽管从 2016 年到 2018 年光刻设备尤其是芯片光刻设备的销售量有显著上升,但价值量贡献却并无相同趋势。 FY2017,其他工业设备如网络摄像头、商用打印机和三维机器视觉系统加总销售额贡献超过 80%,反映出佳能在光刻设备市场上议价能力不足,深层原因还是技术精度未能达到高端市场要求,仅能通过价格优势获得销售量的提升。


佳能 FY2008-2017 光刻机销售额及其部门占比


国产化进程:前路漫漫,曙光微现


光刻机研发的技术门槛和资金门槛非常高, 也正是因此,能生产高端光刻机的厂商非常少,到最先进的 14-7nm 光刻机就只剩下 ASML 能生产,日本佳能和尼康已经基本放弃 EUV光刻机的研发。光刻机国产化仍有很长的路要走,处于技术领先的上海微电子装备有限公司已量产的光刻机中性能最好的是 90nm 光刻机,制程上的差距非常大, 国内晶圆厂所需的高端光刻机只能完全依赖进口。多种原因造成自主技术成长困难重重,光刻设备国产化,前路漫漫。上海微电子则是国产光刻机的星星之火。


目前国内光刻机设备商较少,在技术上与国外还存在巨大差距, 且大多以激光成像技术为主,在 IC 前道光刻设备方面, 上海微电子装备(集团)股份有限公司(SMEE) 代表了国内顶尖水平。


公司主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。设备广泛于集成电路前道、先进封装、 FPD 面板、 MEMS、 LED、 Power Devices等制造领域。 公司的封装光刻机在国内市占率高达 80%,全球市占率也可达到 40%;前道制造光刻机最高可实现 90nm 制程,有望快速将产品延伸至 65nm 和 45nm。上海微电子承担着多项国家重大科技专项以及 02 专项光刻机科研任务,有望实现国产光刻设备的重大突破。


SMEE 前道光刻产品为 660 系列,为步进扫描投影型, 采用四倍缩小倍率的投影物镜、工艺自适应调焦调平技术,以及高速高精的自减振六自由度工件台掩模台技术, 曝光光源有ArF、 KrF 和 i-line,目前只能达到 90nm 制程,与国际先进水平差距较大。


上海微电子公司 IC 前道制造用光刻设备


500 系列步进投影光刻机不仅适用于晶圆级封装的重新布线以及 Flip Chip 工艺中常用的金凸块、焊料凸块、铜柱等先进封装光刻工艺,还可以通过选配背面对准模块,满足 MEMS和 2.5D/3D 封装的 TSV 光刻工艺需求。


上海微电子公司 IC 后道封装用光刻设备


除芯片光刻设备,公司还有 FPD 光刻设备。 200 系列投影光刻机采用先进的投影光刻机平台技术,专用于 AMOLED 和 LCD 显示屏 TFT 电路制造,可应用于 2.5 代~6 代的 TFT 显示屏量产线。该系列设备具备高分辨率、高套刻精度等特性,支持 6 英寸掩模,显著降低用户使用成本。


上海微电子公司 TFT 曝光设备


另外, SSB300/30 投影光刻机适用于 2-6 英寸基底 LED 的 PSS 和电极光刻工艺,该设备具有高分辨率、高线宽均匀性等特点; SSB320/10 投影光刻机专用于 LED 生产中芯片制作光刻工艺,采用超大曝光视场,通过掩模优化设计减少曝光场,减少重复芯片损失,显著提高产能。


上海微电子公司 LED、 MEMS、 Power Devices 制造用光刻设备


截至 2018 年 1 月, SMEE 直接持有各类专利及专利申请超过 2000 项,同时通过建设并参与产业知识产权联盟,进一步整合共享了大量联盟成员知识产权资源,涉及光刻设备、激光应用、检测类、特殊应用类等各大产品技术领域,全面覆盖了 SMEE 产品的主要销售地域,上海微电子公司承接着我国光刻设备星火燎原的希望。


上海微电子公司主要设备产品及工艺


今天是《半导体行业观察》为您分享的第1819期内容,欢迎关注。

推荐阅读

新一年值得关注的20家模拟、MEMS和传感器初创公司,中国有3家上榜

后摩尔定律时代的芯片新选择!

2019半导体领袖新年展望(三) | 半导体行业观察


【福利】深圳国际电子展嘉宾演讲PPT 限时领取!


关注微信公众号 半导体行业观察(ID:icbank) ,后台回复以下关键词获取更多相关内容

华为 | 中美贸易 | IPO | 财报 | 被动元件 | 开源 | 射频 | 5G | 展会

回复 投稿,看《如何成为“半导体行业观察”的一员 》

回复 搜索,还能轻松找到其他你感兴趣的文章!

关于摩尔精英

摩尔精英是领先的芯片设计加速器,愿景“让中国没有难做的芯片”,业务包括“芯片设计服务、供应链管理、人才服务、孵化服务”,客户覆盖1500家芯片公司和50万工程师。我们致力于提供ASIC设计和Turnkey解决方案,从Spec/FPGA/算法到芯片交付,包括:芯片架构规划、IP选型、前端设计、DFT、验证、物理设计、版图、流片、封装和测试服务等。 自2012年以来,我们的团队一直专注于积累技术能力,帮助客户实现最优芯片性能,并支持Turnkey、NRE、专业咨询和驻场等灵活服务模式。 摩尔精英目前全球员工200人,总部位于上海,在北京、深圳、合肥、重庆、苏州、广州、成都、西安、南京、厦门、新竹和硅谷等地有分支机构。



点击阅读原文,了解摩尔精英

责任编辑:Sophie

相关文章

半导体行业观察
摩尔芯闻

热门评论