芯片设计课堂:从小白到资深工程师

2020-03-11 14:00:06 来源: 半导体行业观察



自2月6日第一期到3月5日第九期

每周二期,一共 24堂在线技术课程

从芯片设计到验证再到IP,

从基础理论到先进技术再到应用分享

...

点击“阅读原文”

花30秒做个手指运动

留下您的反馈和建议


感谢好学的你!

感谢乐于分享的工程师,

你们的热情是最大的动力!




> 点击标题,进入视频链接 <



RTL-to-Gate Synthesis with Design Compiler, PrimeTime SI, STA Analysis

IC Compiler II – Driving Best Performance, Power and Area
新思科技模拟电路设计工具



如何基于革命性Fusion设计平台应对市场和工艺的挑战


可靠性优越的智能汽车设计
如何部署Fusion Compiler?


使用Static解决 跨时钟域问题
形式化验证和功能验证VC Formal
业界一流的仿真工具VCS
统一的Debug平台Verdi



业界性能最高的硬件仿真系统ZeBu Server 4
带你了解不一样的HAPS与高性能ASIC原型验证



用Platform Architect Ultra设计人工智能芯片
基于ZeBu平台的虚拟主机应用方案
新思科技汽车虚拟原型技术解决方案



Die-to-Die连接无处不在
PCIe DesignWare IP及PCIe Gen5解决方案
设计师需要了解的DDR5和LPDDR5
通过MIPI协议启用ADAS芯片实现自动驾驶


新思科技存储器编译器和逻辑库



如何使用IP加速您的汽车SoC功能安全合规性
解决人工智能芯片设计面临的新挑战
SoC 安全需要主动防护
处理器IP赋能SoC芯片设计满足汽车功能安全要求







新思科技 产品家族




点击 阅读原文 ,留下您的反馈和建议!
责任编辑:Sophie

相关文章

半导体行业观察
摩尔芯闻

热门评论