芯片生产过程中绕不开的十大设备厂商

2016-10-23 23:43:00 来源: 互联网

china0513-624x468

在大基金和国家政策对半导体行业的支持下,国内的媒体和大众都把目光投向了更切近消费端的fabless、fab和方案公司上。不是很多人会把目光投向半导体设备和材料领域。这两块作为半导体产业的上游,是半导体行业的根本,更是咽喉,我们今天来对半导体设备这个领域具体分析一下:

半导体设备的国内外差距

在说半导体设备之前,我们先说一下半导体这个行当。从大的方向上来说,半导体市场可以分为集成电路、分立器件、光电子和传感器四大领域,其中尤以集成电路所占的份额最为庞大。

根据美国半导体产业协会(SIA)发布的最新发布的数据显示,2015 年全球半导体市场规模为 3,352 亿美元,比 2014 年略减0.2%。而集成电路的规模高达2,753 亿美元,占半导体市场的 81%。所以说集成电路是半导体产业的重中之重。

china0513-624x468

而根据SEMI公布的数据显示,2015年全球半导体设备出货金额为365.3亿美元,低于2014年的375.0亿美元(约1.23兆元台币)销售额。此项统计包含晶圆前段制程设备、后段封装测试设备以及其他前段设备。其他前段设备包括光罩╱倍缩光罩制造、晶圆制造以及晶圆厂设施。

在这些设备采购份额中,台湾已连续第4年稳坐半导体设备最大市场宝座,设备销售金额达96.4亿美元,这主要得益于台湾本身在封测产业的兴旺,台积电、联电、矽品和日月光等,无一不是行业内名列前茅的代表,但其年增仅约2%。

而南韩与日本市场扩大并超越北美,分别排名第2及第3,其中,日本市场以年增31%居各市场成长之冠。

北美市场则是以51.2亿美元(约1679亿元台币)金额落到第4位,年减幅度高达37%、欧洲市场年减约19%﹔

中国市场规模依旧超越欧洲市场及其他地区,年成长约12%。 高企的增长率除了国内对中芯国际、长电等企业的扶持外,还有就是格罗方德、台积电等知名企业和国内的合资或者投资建厂,给中国带来了增长的机遇。毫无疑问,中国在未来几年在半导体设备方面有高速增长的需求。

但与高速增长需求不相匹配的是,国产半导体设备,尤其是在高端设备的缺失,提升了中国半导体产业的准入门槛。

根据 SEMI 的统计, 2014 年全球半导体设备市场规模为 375 亿美元,前十大半导体设备厂商的销售额为 351 亿美元,市场占有率高达 93.6%,行业处于寡头垄断局面。 前十大半导体设备生产商中,有美国企业 4 家,日本企业 5 家,荷兰企业1 家。里面难寻中国厂商的踪影,这和近几年中国飞速发展的fabless产业是格格不入的。这也与今年国内推动的封测产业相去甚远的。如何提升国产设备的市场占有率就成为半导体从业者关注的另一个问题。

china0513-624x468

PS:需要说明一下,在2015年10月,半导体设备制造商Lam Research(科林研发)以约106亿美元收购其竞争对手KLA-Tencor(科磊)公司,改变了设备市场的格局。

china0513-624x468

(从上下两图对比可以看出,国内外的差距多严重)

假设 2014 年国内半导体设备的销售额为40.53 亿元,仅占全球半导体设备市场份额的 1.7%,处于可以忽略的地位,半导体设备的落后程度可见一斑。

排名全球前十的半导体设备厂商详解

我们知道,大体上,集成电路的生产应遵循以下流程。

china0513-624x468

从上图可以看出,整个集成电路的打磨过程中,会涉及到各种各样的设备。我们就按照市场的占有率介绍一下前十的设备公司,并介绍一下他们的相关设备,并说明一下他们在半导体流程中充当什么角色。

一、应用材料

china0513-624x468

按维基百科,应用材料公司是全球最大的半导体设备和服务供应商。应用材料公司创建于1967年,公司总部位于美国加利福尼亚州圣克拉拉。应用材料公司1984年进入中国,目前在上海,北京,天津,苏州,无锡等地有办事处或仓库,在西安设有太阳能开发中心。

应用材料公司的主要产品为芯片制造相关类产品,例如原子层沉积,物理气相沉积,化学气相沉积,电镀,侵蚀,离子注入,快速热处理,化学机械抛光,测量学和硅片检测等。应用材料公司每年的研究经费达到约10亿美元。

应用材料公司Applied Materials(AMAT)历史沿革:

1967年,Michael A. McNeilly创立应用材料公司;

1972年,Applied Materials公开交易;

1984年,应用材料公司进入中国,目前在上海,北京,天津,苏州,无锡等地有办事处或仓库,在西安设有太阳能开发中心(详见下文);

1996年11月,应用材料公司收购两家以色列公司:1.75亿美金收购Opal Technologies、1.1亿美元收购Orbot Instruments;

2000年,Applied Materials收购Etec Systems, Inc.;

2011年6月27日,应用材料公司以2100万美金收购以色列公司Oramir Semiconductor Equipment Ltd.;

2008年1月,Applied Materials购买意大利公司Baccini;

2009年,应用材料公司在中国陕西西安开设了其太阳能技术中心(Solar Technology Center)—是目前全球最大的商业太阳能能源研究和发展机构/设施;

2009年12月,Applied Materials完成对Semitool Inc.的收购;

2011年5月,Applied Materials宣布收购Varian Semiconductor;

2013年9月24日,应用材料宣布将透过换股方式,作价90亿美元收购主要竞争对手东京电子(Tokyo Electron),2015年4月28日,应用材料与东京电子表示将取消业务合并计划,因该合并未获得美国司法部认可。

二、ASML

china0513-624x468

阿斯麦公司(台译:艾司摩尔控股公司)ASML Holding NV(NASDAQ:ASML、Euronext:ASML)创立于1984年,前称ASM Lithography Holding N.V.,于2001年改为现用名,总部位于荷兰费尔德霍芬(Veldhoven),全职雇员12,168人,是一家半导体设备设计、制造及销售公司。

公司主要从事半导体设备的设计、制造及销售,ASML公司主要专精于晶片制造微缩影设备之设计制造与整合,积体电路生产流程中,其关键的制程技术则是微缩影(lithography)技术将电路图影像投射在晶片上之曝光。业务范围遍及全球,生产与研发单位则分别位于美国康乃狄克州、加州,台湾以及荷兰。

阿斯麦公司在世界14个国家和地区有50个子公司和生产据点,主要产品是用来生产大规模集成电路的核心设备光刻机,在世界同类产品中有90%的市占率。

阿斯麦公司(艾司摩尔控股)ASML Holding(ASML)简史:

1984年,艾司摩尔从荷兰著名电子制造商飞利浦独立,此后致力于大规模集成电路制造设备的研究和制造。根据摩尔定律不断为提高单位面积集成度作贡献。2007年已经能够提供制造37nm线宽集成电路的光刻机。

制造大规模集成电路时要对半导体晶圆曝光3,40次。如何在不降低品质的情况下,减少曝光次数是曝光机的发展方向。阿斯麦公司使用德国蔡斯公司的光路系统。镜头使用萤石和石英制造。

曝光机是高附加值产品,一台新的曝光机动辄3000至5000万美元。但是研发周期长投入资金也相当巨大。

阿斯麦公司为半导体生产商提供光刻机及相关服务,TWINSCAN系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。目前全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN机型,例如英特尔(Intel),三星(Samsung),海力士(Hynix,KSE:000660),台积电(TSMC),联电((NYSE:UMC)),格罗方德(GlobalFoundries,格罗方德成立于2009年3月2日,是从美国AMD公司制造部门分拆出。母公司分别为AMD及阿布扎比的Advanced Technology Investment Company(ATIC),其中ATIC占公司股权65.8%)及其它台湾十二吋半导体厂。

目前(截至2012年,现在的不知道,谁清楚请告知)已经商用的最先进机型是Twinscan XT 1950i,每小时单位产出为260片(WPH)12吋芯片,属于浸润式(immersion)光刻机,用来生产关键尺度低于38纳米的集成电路。

除了目前致力于开发的TWINSCAN平台外,阿斯麦公司还在积极与IBM等半导体公司合作,开发下一代光刻技术,比如EUV(极紫外线光刻),用于关键尺度在22纳米甚至更低的集成电路制造。目前阿斯麦公司已经向客户递交若干台EUV机型,用于研发和实验。同时,基于传统TWINSCAN平台的双重曝光等新兴技术,也在进一步成熟和研发过程当中。07年末三星(Samsung)宣布成功生产的36nm NAND Flash,基于的便是双重曝光技术(double patten)。

2012年7月10日,英特尔斥资41亿美元收购荷兰芯片设备制造商阿斯麦公司的15%股权,另出资10亿美元,支持阿斯麦公司加快开发成本高昂的芯片制造科技。先以21亿美元,收购阿斯麦公司10%股权,待股东批准后,再以10亿美元收购5%股权,投注金额将以发展450mm机台以及EUV研发制造10nm技术为两大主轴。

2012年8月5日,台积电宣布加入荷兰阿斯麦公司所提出的“客户联合投资专案”(Customer Co-Investment Program),根据协议,台积电将投资ASML达8.38亿欧元,取得阿斯麦公司约5%股权,未来5年并将投入2.76亿欧元,支持阿斯麦公司的研发计划。

2012年8月27日,三星宣布斥资5.03亿欧元入股以荷兰为基地的芯片商阿斯麦公司3%股权,并额外注资2.75亿欧元合作研发新技术。

2012年10月17日,ASML Holding NV(ASML)与Cymer (原NASDAQ:CYMI)宣布签订合并协议,阿斯麦公司将以19.5亿欧元收购Cymer所有在外流通股票,收购Cymer目的在于加速开发Extreme Ultraviolet半导体蚀微影技术,两家公司董事会一致通过这件交易,Cymer股东将以每股收取20万美元现金和1.1502股ASML普通股,收购价比Cymer过去30日均价高出61%。

三、Tokyo Electron

东京电子 ( Tokyo Electron ,8035.JP)成立于1963年,为全球第三大半导体设备生产商,提供给半导体与平面显示器产业。

china0513-624x468

半导体生产设备,包括涂布机、电浆蚀刻系统、热加工系统、单晶片沉积系统、清洗系统,用于晶圆生产流程,还提供晶圆探针系统。平板显示器生产设备,包括平面显示镀膜机、平面电浆蚀刻,及电浆体化学气相沉积系统用于薄膜矽太阳能电池。

东京电子发展历程:

1963年11月 – 由东京放送(TBS)成立东京电子研究所

1978年10月 – 东京电子研究所更名为东京电子

1980年 6月 – 在东京证券交易所第2部上市

1984年 3月 – 升格为在东京证券交易所第1部上市

1990年 8月 – 成立东电FE,开始研发制造LCD和FPD的设备

1994年 8月 – 总公司地址搬到赤坂TBS放送中心

2006年 4月 – 分割为东电AT,东电九州和东电软件技术三个子公司

2008年 2月 – 和夏普合资成立东京电子PV

2013年 9月 – 和应用材料合并

2015年 4月 – 应用材料与东京电子表示将取消业务合并计划,理由是该计划未获得美国司法部认可。

四、Lam Research

Lam Research Corporation成立于1980年,总部位于美国加州,是一家向全球半导体产业提供晶圆制造设备和服务的供应商。

china0513-624x468

公司主要设计、制造、行销、维修及服务使用于积体电路制造的半导体处理设备,此外,还提供单晶圆清洁技术的多样组合。

旗下子公司Customer Support Business Group提供可强化设备效能及效率的产品与服务。该公司提供服务的范围包括客户服务、备用零件的供应、产品升级、产品蚀刻、沉积、去除光阻及清洁等服务,并还制造、销售一系列的研磨、叠置及精密抛光等设备。2012年6月,公司完成与Novellus Systems, Inc.合并。

科林研发公司(拉姆研究)Lam Research(LRCX)历史沿革:

1980,David K. Lam创立科林研发公司;

1981,发布第一款产品——AutoEtch 480;

1984,首次公开发行IPO,登陆纳斯达克;

1985,发布第一款oxide etch system——AutoEtch 590

1987,将总部搬到弗里蒙特Cushing Parkway,发布Rainbow 4400 Etch Series;

1990,进入中国大陆市场;

1997,收购OnTrak Systems, Inc.;

2001,获得ISO 9001:2000质量体系认证;

2002,开设新品&技术发布网站MyLam.com;

2003,取得ISO 14001环境管理体系认证;

2012年6月4日,Lam Research Corp.(NASDAQ:LRCX)完成与加州上市公司诺发系统Novellus Systems, Inc., (NASDAQ: NVLS)的合并[股票代码:NVLS,目前是生物制药公司Nivalis Therapeutics];

2015年10月21日,科林研发公司宣布将斥资106亿美元, 以现金加股票的方式收购同业的美国半导体设备厂商科磊半导体(KLA-Tencor )。

五、KLA-Tencor

科磊半导体(或:科天半导体、美商科磊股份有限公司)KLA-Tencor Corporation(NASDAQ:KLAC)创立于1975年,总部位于美国加州米尔皮塔斯,全职雇员5,880人,是全球前十大IC设备生产厂商,拥有晶圆检测与光罩检测系统。

china0513-624x468

KLA-Tencor Corporation是一家从事半导体及相关纳米电子产业的设计、制造及行销制程控制和良率管理解决方案商,其产品包括晶片制造、晶圆制造、光罩制造、互补式金属氧化物半导体(CMOS)和图像感应器制造、太阳能制造、LED制造,资料储存媒体/读写头制造、微电子机械系统制造及通用/实验室应用等。

此外,科磊半导体公司还提供翻新的KLA-Tencor工具,连同其KT认证计画予客户制造更大的设计规则装置及产品支援服务。公司产品应用于许多其他行业,包括LED,资料储存和太阳能等产业,以及一般材料的研究。

科磊半导体(科天半导体)KLA-Tencor Corp(KLAC)历史沿革:

1975年,Ken Levy 和 Bob Anderson创立KLA Instruments,是KLA-Tencor Corporation的前身之一;

1997年,KLA Instruments 与同业公司 Tencor Instruments合并,成立KLA-Tencor;

1998年,KLA-Tencor收购Amray Inc.、Nanopro GmbH、Keithley Instruments, Inc.的 Quantox产品线、VARS、Uniphase Corporation的Ultrapointe分公司;

1999年,科磊半导体收购ACME Systems Inc.;

2000年,KLA-Tencor收购FINLE Technologies, Inc.,并从ObjectSpace Inc.手里收购了Fab Solutions;

2001年,收购Phase Metrics;

2004年,收购Candela Instruments及Inspex, Inc.的硅片检测系统业务;

2006年,收购ADE Corporation;

2007年,收购OnWafer Technologies、SensArray Corporation以及Therma-Wave Corporation;

2008年,收购ICOS Vision Systems Corporation NV、以及Vistec Semiconductor Systems的微电子检测设备业务单元;

2010年,收购Ambios Technology;

2014年,收购Luminescent Technologies;

2015年10月21日,科林研发公司宣布将斥资106亿美元, 以现金加股票的方式收购科磊半导体(KLA-Tencor )

六、DNS(Dainippon Screen,迪恩仕)

SCREEN 集团专职研究开发各项半导体设备、液晶生产设备及专业级印刷设备,其集团公司包含全世界共有数十个服务据点,足迹遍及台湾、日本、美国、欧洲、中国大陆、韩国、新加坡等地。

china0513-624x468

迪恩仕总部位于日本。从印前、印刷及相关设备到电子产业,迪恩士已在各个领域扩大了其业务范围。 在“发展思路”的公司的原则指导下,以核心图像处理技术为杠杆,不断努力开创着新的业务和产品。

迪恩仕现在正在发展和生产印刷领域及世界领先的高科技领域的印刷技术数字化设备,如电子领域的半导体制造设备,FPDs (平板显示器)和印刷电路板。

迪恩仕科技提供各领域之半导体晶圆设备,包含洗净、蚀刻、显影/涂布等制程用途,其中洗净设备于半导体业界具有极高之市占率,同时随着半导体制程技术进步不断推陈出新设备产品。

七、Advantest

ADVANTEST公司1954年成立于日本东京,主要从事大规模集成电路自动测试设备及电子测量仪器的研发、制造、销售和服务。

china0513-624x468

半个多世纪以来,公司凭借其优秀的经营理念和尖端的技术,已成为全球最大的集成电力自动测试设备供应商之一,并在美国、欧洲、亚洲成立了多个子公司,就近向半导体行业提供完善的整体解决方案,及一流的售后服务。

ADVANTEST公司的产品主要分为集成电路自动测试设备和电子测量仪器两大部分。集成电路自动测试设备的产品包裹SoC测试系统、Memory测试系统、混合信号测试系统、LCD Driver测试系统、动态机械手等; 电子测量仪器产品则包括频谱分析仪、网络分析仪等。近二十年来,作为半导体测试设备行业的领军企业,ADVANTEST公司的产品销售额和市场占有率在全球同行业中的排名一直数一数二,并在近几年ATE测试设备的市场份额最新排名中依然荣居榜首。

ADVANTEST公司自二十世纪七十年代开始与中国展开技术交流,并与1993年正式进入中国市场。目前在北京、上海、苏州分别有注册公司(分公司)。其中技术工程师占60%以上。

八、Teradyne

美商泰瑞达Teradyne, Inc.(NYSE:TER)创立于1960年,总部位于美国马萨诸塞州North Reading,全职雇员3,900人,是一家生产电子与通讯产品所需的自动化测试器材与相关软件的自动测试设备公司。

china0513-624x468

美商泰瑞达(Teradyne)是一家自动测试机台的制造商(Automatic Test Equipment,ATE),产品包括半导体测试系统、电路板与电话线与网路所需的软件,2005年,泰瑞达公司在系统整合芯片的元件测试市场中,市占率最高。

国内外知名企业如Motorola, Philips Semiconductor, Texas Instrument, Cisco, 3Com,中芯国际,ChipPac, 华为,贝岭等皆为公司客户。

美商泰瑞达Teradyne(TER)历史沿革:

1960 – Alex d’Arbeloff 和 Nick DeWolf创立Teradyne;

1961 – 发布第一个产品——二极管测试仪(D133),由 Raytheon Company出售;

1966 – Teradyne发布第一款电脑控制芯片测试仪——J259;

1969 – Teradyne 在收购Triangle Systems后建立Teradyne Dynamic Systems;

1970 – Teradyne登陆纽交所,发行42万股,股票代码:TER;

1973 – Teradyne 在芝加哥建立 Teradyne Central来开发电信测试系统;

1973 – Teradyne发布全球第一款用户线测试系统——4TEL;

1979 – Teradyne发布A300 Analog LSI测试系统,同年营业额突破1亿美金大关;

1980 – Teradyne发布第一款电路/电路板功能测试系统组合——L200;

1981 – Teradyne发布第一款超大规模集成电路不停机测试系统——J941;

1986 – Teradyne发布第一款模拟超大规模集成电路测试系统——A500;

1988 – Teradyne发布第一款使用电子表格程序设计的基于PC的电路板测试仪——Z1800-Series.

1990 – Teradyne执行全公司的全面质量管理举措;

1993 – Teradyne的4TEL电信测试系统获得来自Deutsche Telekom的6300万美元大单;

1996 – Teradyne 发布第一款基于VXI的在线测试系统——Spectrum 8800-系列生产测试平台(Manufacturing Test Platform);

1996 – 发布Marlin Memory Test 系统;

1997 – Teradyne制造第一款可实时转移的结构到功能测试系统——J973;

1997 – Teradyne发布第一款System-On-A-Chip测试系统——Catalyst;

1998 – Teradyne发布——J750,一个低成本设备的大批量测试解决方案;

2000 – Teradyne Japan Division发布新一代图像传感器测试系统——IP-750;

2004 – Teradyne发布为复杂的SOC器件提供高灵活性、高工作量以及高混合的测试系统——FLEX;

2006 – Teradyne将总部搬到马萨诸塞州North Reading;

2008 – Teradyne收购Eagle Test 和 Nextest Systems;

2011 – Teradyne 收购 LitePoint;

2015 – Teradyne 收购 Danish company Universal Robots。

九、Hitachi High-Technologies

日立全球先端科技(HHT,8036.JP)为全球半导体设备大厂。主要产品包括半导体设备、电子显微镜、液晶面板相关设备,FPD设备包括包括Array、Cell、Module、彩色滤光片之制程设备,包含玻璃基板表面检查设备、曝光机、湿制程设备..等及医疗分析设备。

china0513-624x468

公司还提供钢制品、非铁金属产品、综合性树脂产品、光通讯材料、石油化学产品等工业材料。

十、尼康

Nikon (7731.JP)成立于1917年,是总部设在日本东京,主要分四个事业领域,分别精密设备公司、映像公司、仪器公司及其他(包括CMP装置事业、测量机事业、望远镜事业等)。

china0513-624x468

精密设备事业部是提供积体电路曝光机和扫描仪,用于在大规模积体电路制造;影像产品事业部提供的数位相机、胶卷相机及零件,包括可互换镜头、闪光灯、胶片扫描仪等;仪器事业部提供显微镜、测量仪器、半导体检测设备。

其他还有提供运动光学产品,如望远镜、单筒/双筒望远镜、雷射测距仪等。

半导体设备国产化需要解决的问题

行业专家莫大康认为,发展设备业之所以最为困难,除了资金、人才等问题,最关键是使用量太少。

作为一个曾在全球最大的半导体设备企业——美国应用材料公司供职多年的专家,他从五个方面总结了半导体设备国产化的难度:

一是半导体设备市场已日趋专业化和全球化。当下,全球设备业通过兼并、淘汰,在每个细分市场中仅剩下1~2家、至多3~4家企业,竞争十分激烈,如光刻机领域ASML一家独大,且均面向全球市场。反观国内企业,基础较弱,有能力切入海外市场的很少。

二是半导体设备的独特地位。上世纪80年代末期开始,半导体设备企业开始把工艺能力整合在设备中,让用户买到设备就能保证使用,并且达到工艺要求。因此有“一代器件,一代设备”之说。这是半导体设备如此昂贵的原因,也是对国内企业的极大挑战。

三是由于出货数量少,设备企业难以负担工艺试验线的费用。为此,国内只能采取对下游制造企业进行补贴,利用制造企业的产线帮助设备企业进行试验的办法,这种方式显然多有掣肘。一台设备从研发、样机开始,必须经过大量硅片通过等工艺试验,才能发现问题,并进行改型。这样的过程要重复多次,改型多次,才能最后定型。并且出厂前要经过马拉松试验,测算平均无故障时间等。

四是韩国和我国台湾地区也曾致力于设备国产化,但成效不大,目前全球市场主要仍被美国和日本企业掌控,这也从侧面体现了难度。

五是设备业需要产业大环境配合。从成本构成来看,表面上我国设备企业和国外企业相差不大,如关键零部件都是采购而来,人员和管理费用也相仿,但是实际上,产业大环境却十分不同。比方说,西方的股权激励制度更为灵活,员工积极性高;同是采购零部件,我国企业因为是进口,所以要承担税费,而且有些零部件订货需要出口许可证;因为订货量相对小很多,采购价格高;产业配套条件不同,如实现某些设计验证国内企业要花更高的成本;缺乏人才等。

“实际上,国产设备的设计水平和国际水平相差并不大。”莫大康强调,“严格来说,真正的差距在于,国产半导体设备尚处在样机阶段就交给客户,这就会导致经常宕机,因此不太可能一上来就用在量产中,否则将会影响生产线运行。”

毫无疑问,这个进程是曲折的,但前景是光明的,由于西方诸国对中国半导体技术的禁运和各种原因,或会从客观上拖慢中国半导体的发展进程,如何推动半导体设备国产化是半导体从业者需要考虑的重要问题。期待有大突破的一天。

来源:电子发烧友,感谢作者付出,如转载不当,请联系后台删除!

china0513-624x468

【关于转载】:转载仅限全文转载并完整保留文章标题及内容,不得删改、添加内容绕开原创保护,且文章开头必须注明:转自“ 半导体行业观察icbank”微信公众号。谢谢合作!

【关于投稿】:欢迎半导体精英投稿,一经录用将署名刊登,红包重谢!来稿邮件请在标题标明“投稿”,并在稿件中注明姓名、电话、单位和职务。欢迎添加我的个人微信号MooreRen001或发邮件到 jyzhang@moore.ren

china0513-624x468

点击阅读原文,查看更多半导体高薪职位

责任编辑:mooreelite
半导体行业观察
摩尔芯闻

热门评论