闷声发大财的ASML

2018-02-20 14:00:07 来源: 官方微信

 

来源:内容来自作者芯灵则成投稿,不代表本公众号立场。

 

近年来,随着国家集成电路产业投资基金动作频频以及全球存储价格的不断上涨,集成电路行业仿佛枯木逢春般受到各路资本的关注。然而在各地政府与各路企业拟合作建设的各类集成电路项目如雨后春笋般出现的当下,我们不妨关注下这家凭借集成电路产业再现活力之机持续提升其垄断地位并默默提升收入利润的光刻机设备制造商, 荷兰阿斯麦(ASML)

 

 

光刻机重要性依旧,ASML营收利润大幅增长

 

ASML脱胎于飞利浦、成立于1984年,其唯一产品类型就是集成电路制造环节中最核心的设备——光刻机。光刻机设备通过光源将掩模上的电路图曝光至涂满光刻胶的晶圆之上,从而通过化学作用将电路图曝光至晶圆上并进行后续的刻蚀工艺,其原理与照相机将景物曝光至底片类似。随着集成电路制程水平以及复杂度的不断提升,集成电路制造基地的投资也不断提高,因而集成电路制造企业对其中核心设备光刻机的要求也越来越高,不仅包括光刻机能够达到的制程水平,也包括光刻机的运行效率与可靠性等指标。

 

ASML凭借多年积累的技术优势,在2017年取得收入增长33%、净利润增长43%的骄人业绩,二者均为历史新高;同时,ASML的毛利率达到45%,较上年度略有上升;拆分来看,ASML的销售收入主要分为服务收入与设备收入两大类,其中服务收入来自于为客户提供现场安装、系统升级等服务,约占总收入的30%,毛利率水平约为40%;设备收入来自于销售EUV光刻机(极紫外)、DUV(深紫外)及其他光刻机设备,约占总收入的70%,毛利率水平约为47%。

 

图1. ASML经营业绩概况

 

 

EUV光刻机一枝独秀,销量快速增长并有望延续

 

在EUV光刻机领域,ASML是全球唯一的设备供应商,处于绝对垄断地位。EUV光刻机采用波长为13.5nm的极紫外光作为光源,是集成电路制程进入10nm的重要手段。ASML于2010年正式推出第一代EUV光刻机NXE:3100;后于2013年收购EUV光源领域翘楚Cymer后推出第三代EUV光刻机NXE:3300B;直至2016年才推出达到生产要求的第四代EUV光刻机NXE:3350B,实现销量提升;2017年,ASML对外销售的是更加成熟的NXE:3400B型EUV光刻机,其生产效率可达每小时处理125片晶圆的水平。

表1. EUV光刻机产品线

 

其实,EUV光刻机的研发历程并未达到当初预期,一方面是由于下游厂商通过工艺改进等方式不断提高DUV光刻机的制程水平,同时在资本开支上保持谨慎所致,而另一方面则由于EUV光刻机研发进展缓慢,如光源功率不足等问题难以解决等原因。EUV采用激光脉冲激发等离子体(Laser-Produced Plasma, LPP)作为光源,通过高功率激光器与锡相互作用生成EUV散射光子,其散射性导致单点聚集下光源的能量密度极低,功率无法达到生产线的要求。据媒体报道,3300B的EUV光源功率只能达到100W,而3400B的EUV光源功率则大幅提升至250W,满足生产要求。对EUV光刻机后续的研发路径,ASML表示将通过将数值孔径(NA)由0.33提高至0.5从而提升透镜聚集光线的能力,进而依据瑞利(Rayleigh)公式继续提高制程水平,以满足客户3nm制程规划。

2017年ASML共出货EUV光刻机11台,其中包含因客户需急而在4季度提前出货的2台设备;2017年EUV光刻机平均售价约1亿欧元/台,年末积压28台EUV光刻机订单尚未出货,平均售价达1.1亿欧元/台。ASML预计EUV光刻机需求量在未来两年将持续增长,而公司也将竭尽所能满足客户需求,预计2018年出货22台设备,2019年出货30台设备。

图2. EUV光刻机销售情况统计

 

 

DUV及其他光刻机竞争优势明显,浸没式设备订购踊跃

 

相对成熟的DUV及其他光刻机设备主要包括浸没式ArF光源、干式ArF光源、KrF光源、I线光源等类型,其中1980i型号DUV光刻机生产效率可达每小时处理275片晶圆。此外,2017年ASML还供给3家客户2000i型号以帮助客户探索5nm制程的工艺体系。

 

 

近年来,DUV及其他光刻机销售收入稳定增长,其中全新设备约占9成比例;浸没式ArF光源DUV光刻机作为旗舰产品贡献销售收入与平均售价均高于其他同类设备。2017年末AMSL积压的DUV光刻机订单为112台,总金额为36亿欧元,其中74%是浸没式设备,远高于前两年的相应比例,证明浸没式设备正逐渐成为客户订购的热门产品。

 

 

日本尼康与佳能曾经是ASML的主要竞争对手,但近几年日渐式微仅能在DUV及其他光刻机领域依靠低价或差异化策略顽强生存。尼康2016年末启动的重整计划对光刻机部门的重整目标就是保持盈亏平衡,据报道尼康光刻机的成品率及效率均偏低,因此售价也仅为ASML对应机型的一半而已;近年来其光刻机年销量约30台,其中浸没式光刻机约占20%。佳能则不再追求光源与制程的提升,转而针对应用领域进行差异化优化,例如5510iX与6300ESW的单次曝光面积更大,5550iZ2的生产效率更高;据此,佳能自称其2017光刻机销量增长,并称1其市场占有率约26%(据推算应为数量占比),并预计2018年度继续增长且全年销量有望达到126台。

 

 

 

亚洲地区收入占比稳步提高,扩大向中国本土企业交付规模

 

近年来,集成电路行业仍呈现美国、韩国、台湾三足鼎立之势,而ASML的销售收入按交付地域划分也与之相符;值得注意的是,由于三星、Intel等国际巨头纷纷在华建厂,近年来ASML直接销往中国大陆地区的光刻机贡献收入占比也稳步提升。近期,ASML宣称预计在2018年将向5家中国本土企业交付光刻机设备,继续扩大与中国本土企业的合作规模。鉴于EUV光刻机仍处于大规模推广初期,且中国本土企业尚未完全具备相应的整体工艺能力,同时ASML的EUV光刻机产能仍然有限,因此我们预计2018年至2019年间ASML与中国本土企业的合作仍在DUV及其他光刻机领域,待2020年才有望在EUV光科技领域有更大规模的合作。

 

图3. ASML光刻机销售收入按区域统计

 

 

存储行业景气提振光刻机购买热情,新玩家入场有望延续

 

得益于近年来大数据、物联网、人工智能等领域的迅猛发展导致对数据存储规模和速度的迫切需求,以及厂商升级换代周期供不应求等因素综合导致存储价格从2016年至今价格飙升的影响,存储厂商可谓日进斗金;因而其对在研发及资本性支出的投入也水涨船高,2017年存储厂商为ASML贡献收入较2016年翻倍。于此同时,中国本土企业在3D NAND闪存、NOR闪存、DRAM内存等领域也正筹谋进行大规模投资,如武汉、合肥等存储生产基地的研发及建设投资按计划推进,则未来几年来源于存储领域的光刻机需求有望持续,或在2020年迎来再次爆发。

 

图4. ASML光刻机销售收入按用途统计(亿欧元)

 

 

携手行业上下游,巩固市场垄断地位

 

ASML最重要的供应商是为其提供各类光学器件的卡尔蔡司半导体有限公司(Carl Zeiss SMT),ASML向卡尔蔡司半导体采购成本占光刻机设备成本的三成。2016年,ASML以10亿欧元的价格获得卡尔蔡司半导体24.9%的股权,同时承诺在未来6年向卡尔蔡司半导体提供总额7.6亿欧元的资金支持,协助后者开展提升数值孔径的研发工作,并支持其资本性支出与其他供应链投资等。

 

ASML的核心客户包括IDM巨头如Intel、三星,以及代工巨头台积电等企业,其在2016年与2017年收入贡献分别为51.8%和64.7%。长期合作得益于收益的共享,2012年ASML提出客户共同投资计划(CCIP)并吸收以上三家客户成为ASML的股东,三者合计持有约23%的股份。据公开资料显示,截至2015年末,台积电已售罄其持股;截至2016年末,三星也已出售过半的持股,但Intel仍持有约15%的股份;截至2017年末,Intel的持股比例已降低至5%。

 

 

市值不断提升,估值趋于稳定

 

一方面自身经营业绩高速增长,另一方面资本市场连创新高,ASML市值自2015年末的375亿欧元提升至2017年末的620亿欧元,而在2018年最高时市值曾超过700亿欧元。我们计算自2015年末至2017年末各季度滚动净利润数据可见,ASML市盈率持续稳定在30x以上,最高时曾达到37x的水平。

 

图5. ASML市值变动及滚动计算经营业绩

 

 

业绩预测相对保守,近期或可超预期

 

2016年Q4的投资者见面会上,ASML对未来几年的经营业绩进行预测认为,取决于光刻机整体需求以及EUV光刻机的接受度与认可度,预计2020年销售收入在80亿欧元至130亿欧元之间,平均可达110亿欧元。同时,ASML预计2020年毛利率将达到50%,其研发费用、销售及管理费用分别占收入的比例为13%和4%,每股收益达9欧元。据此推算,若预测期间股本无大幅变化,则2020年ASML净利润将达35亿欧元以上。

 

尽管在发布2017年业绩后,ASML并未修改业绩预测也未提供对2018年的业绩展望,但是我们根据现有信息进行谨慎预测其2018年业绩如下。目前EUV光刻机接受度与认可度均超出公司预期导致订单量暴增,按AMSL预计2018年交货22台EUV光刻机估算,可实现销售收入约23.2亿欧元;DUV及其他光刻机若延续前两年稳定增长的态势,预计全年实现销售190台,按平均售价0.3亿欧元估算,可实现销售收入约57亿欧元;服务收入预计于2018年稳定增长至29亿欧元;综上,2018年全年销售收入可达到109.2亿欧元,较17年增长20.6%,并且接近ASML对2020年的销售收入预计值。

 

同时,我们预计ASML在2018年的毛利率及费用控制虽有提升但尚未达到2020年预计值的水平:其中我们预计整体毛利率可提升1个百分点至46%;研发费用、销售及管理费用占销售收入的比例预计为14%与4.5%,均处于近年来相对较低的水平。据此测算,2018年ASML的营业利润约为30亿欧元,净利润约为25.5亿欧元,较2017年提升20.3%。对应按30x市盈率保守估算,19年初市值可以达到765亿欧元,与目前市值相比涨幅有限。

 

但同时,基于以下原因,我们认为ASML在2018年的经营业绩存在超预期的可能:

 

一是EUV光刻机的实际销量可能高于预期,尽管受限于产能有限的原因,EUV光刻机的交货周期通常在2年左右,但同时我们也看到在2017年四季度ASML曾迫于客户压力提前出货2台EUV光刻机,因此同样情形也可能在2018年出现;

 

二是下游客户尤其是存储厂商在2017年普遍业绩不俗,因此下游客户有能力和意愿继续维持高额的研发及资本性支出,保持对中高端光刻机需求的增长态势;

 

三是中国大陆地区正大批兴建的存储工厂及代工企业的工艺提升可能大幅拓展ASML的客户群体,从而提高DUV及其他光刻机的需求量。

 

 

国内企业差距明显,资本运作或为上策

 

国内从事光刻机设备生产的龙头企业是上海微电子装备(集团)股份有限公司,目前其600系列光刻机适用于集成电路前道制造领域。据其网站披露,2016年其生产的国内首台前道扫描光刻机交付客户使用,同时其承担02专项之“浸没光刻机关键技术预研项目”也已通过验收,预示着上海微电子正研发浸没式光刻机相关技术。此外,在02专项下长春光机所等研究机构在EUV关键技术攻关、高NA浸没光学系统关键技术攻关等领域也已取得阶段性进展,也许在不久的将来我国企业或科研院所将启动浸没式光刻机甚至EUV光刻机的整体研发工作。

 

 

即便如此,我们距离ASML的先进水平仍有两代的差距,可能需要十年时间才可能赶上。此外,近年来我国企业集团曾试图对美国、台湾的存储生产企业、芯片代工企业、封装测试企业等进行并购重组从而迅速切入相关领域实现迅速突破,但是无奈受制于各地政府的阻挠而屡次失意。在此背景下,或许我国企业集团可以考虑转而对原有目标公司的上游企业,如ASML等美国或台湾等敏感地区以外的设备制造商抛出橄榄枝,以适当方式形成战略合作伙伴关系,从而一方面能够间接对原有目标公司施加压力,另一方面也能在其块速增长期间获得不菲收益。最后,或许我国企业集团也可以考虑与尼康、佳能、或其他光学设备提供商进行战略合作,快速获得关键技术以迅速提升我国光刻机整体制造水平,为建立集成电路强国打下坚实基础。

 

今天是《半导体行业观察》为您分享的第1504内容,欢迎关注。

R

eading

推荐阅读(点击文章标题,直接阅读)

最具发展潜力的中国半导体新贵盘点

指纹识别之王:指尖上的战争从未停止

中国芯片创业者的黄埔军校:Marvell

 

 

 

关注微信公众号 半导体行业观察 ,后台回复关键词获取更多内容

回复 科普 ,看更多半导体行业科普类的文章

回复 比特币 ,看更多与比特币、挖矿机相关的文章

回复 晶圆 ,看晶圆制造相关文章

回复 紫光 ,看更多与紫光公司相关的文章

回复 ISSCC ,看《从ISSCC论文看半导体行业的走势》

回复 京东方 ,看更多与京东方公司相关的文章

回复 存储 ,看更多与存储技术相关的文章

回复 A股 ,看更多与上市公司相关的文章

回复 展会 ,看《2017最新半导体展会会议日历》

回复 投稿 ,看《如何成为“半导体行业观察”的一员 》

回复 搜索 ,还能轻松找到其他你感兴趣的文章!

 

 

 


责任编辑:芯灵则成
半导体行业观察
摩尔芯闻

热门评论