英特尔CEO重申:2024年将实现制程领先

2022-05-01 14:00:22 来源: 半导体行业观察

来源:内容由半导体行业观察(ID:icbank)综合自网络,谢谢。


彭博信息报导,英特尔公司执行长基辛格(Pat Gelsinger)表示,英特尔可望比预订时程提前达成一项重要的科技里程碑,重拾技术领先优势,会在2024年底重夺晶圆代工制程宝座,比先前的目标2025年提早。

基辛格去年接掌英特尔后,一直努力设法恢复英特尔在前任执行长手上失去的半导体制程技术领导地位,以扭转与台积电、三星竞争的劣势。但欲达此目标,非得改装、升级现有厂房设备不可。基辛格原先向投资人承诺,英特尔会在2025年达此目标。但他在29日接受彭博电视专访时说:「现在,我们认为会在2024年底。」

基辛格去年2月接任执行长以来,英特尔已宣布一连串大型投资案,投注巨资在美国和欧洲兴建晶圆厂,力图让英特尔重上轨道并朝新的市场扩张。

目前为止,这项任务显得挑战极大。受财测不如预期影响,英特尔股价29日收盘重挫6.9%至43.59美元,过去一年来跌幅约19%。个人计算机(PC)销售减缓,影响英特尔PC处理器营收展望;华尔街一些分析师也质疑,基辛格扭转乾坤的计划面临艰巨挑战。

基辛格则重申,他相信2022下半年将进入需求和订单加速成长期。

过去一年来,半导体产业供不应求,影响汽车、iPhone等林林总总产品的制造。基辛格认为,芯片生产不足的情况可能延续到2024年,与先前预测相同。

他接受CNBC节目访问时,也表示芯片供应短缺可能持续到后年,症结在于关键制造工具供应受限,对扩充产能形成障碍。基辛格说:“一部分基于这个原因,我们认为,整体而言,半导体短缺将延续至2024年,比我们之前估计的2023年拖长,只因如今连设备也遭遇短缺,使提高生产的挑战加剧。”


2024年,会是一个转折点吗?


按照英特尔最新的路线图,他们的工艺会按照以下时间推出:


2022年,英特尔将推出 4nm 工艺,这是英特尔首次使用 EUV设备,新工艺的性能将比 7nm 提升 20%。英特尔之前曾谈到这一代的密度提高了 2 倍,但现在只是说“显著的密度提高”,我们估计为 1.8 倍。


三星 3nm 可能仅用于内部使用,密度提高 1.35 倍,在相同功率下性能提高 35%,在相同性能下功率降低 50%。其密度改进不是很令人印象深刻,但性能和功率改进可能是由于采用了HNS技术。TSMC 3nm 基于 FinFET,将提供约 1.6 倍的密度改进,在相同功率下性能提高 10%,在相同性能下功率降低 25%。


2023年,英特尔将推出3nm 工艺,性能提升 18%,库更密集,EUV 使用更多。我们估计密度提高了 1.09 倍,使其更像是一个半节点。三星 3GAP 应该可供外部客户使用,台积电 3nm 部件应该出现在 iPhone 中。


2024 年上半年英特尔的Intel 20A(20 埃 = 2nm)工艺将亮相,新节点将带来 15% 的性能提升。这将是英特尔的第一个 HNS(他们称之为 RibbonFET),他们还将引入背面供电(他们称之为 PowerVia)。背面供电解决了 IR 功率下降问题,同时使前端互连更容易。我们估计,这个工艺的密度较之上一代提高了 1.6 倍。


2024 年下半年,Intel 18A 工艺将提前到来,并带来 10% 的性能提升。我们估计密度提高了 1.06 倍,使这又是一个半节点。这个过程已经从 2025 年开始,英特尔表示他们已经向客户交付了测试设备。


2025年下半年,三星 2nm 将亮相,我们预计它将是 HNS,因为它将是三星的第三代 HNS(将 3GAE 算作第一代,GAP算作第二代),而他们的前几代密度提升相对较少,将有我们预测 1.9 倍。


台积电尚未宣布他们的 2nm 工艺,只是说他们希望在 2025 年拥有最好的工艺。我们可能会在 2024 年看到 他们2nm,但目前我们将其放在 2025 年,我们预计同样使用HNS 工艺,并且估计密度为 1.33 倍改进。我们相信密度的提升将是适度的,因为它是台积电的第一个 HNS,而且因为 3nm 工艺非常密集,进一步的改进将更加困难。


图 9说明了英特尔如何通过做 4 个节点而代工厂做 2 个节点来在代工厂上“翻转脚本”。


图9


我们现在可以看看英特尔、三星和台积电到 2025 年的密度比较。我们还根据他们的 2nm 公告添加了 IBM 的 2nm 研究设备。图10显示了密度与年份和节点的关系。


图10


从图 10来看,我们预计台积电将在 2025 年之前保持密度领先。


我们分析中最复杂的部分如图 11 所示,我们在其中比较了性能。如果没有在不同的制程上运行相同的设计,就很难将进程相互比较以获得性能,而且这种情况很少发生。我们生成此图的方式如下:


Apple A9 在三星 14nm 和台积电 16nm 上进行生产,Tom’s hardware 发现两个版本的性能相同,我们已将此节点的性能标准化为三星和台积电的 1。


从 14/16nm 节点到 3nm,我们使用了公司宣布的性能改进来绘制相对性能。对于 2nm,我们使用了我们自己的预测。


我们没有任何在英特尔工艺以及三星或台积电上运行的设计。但是,AMD 和 Intel 都生产 X86 微处理器,而 AMD 采用 TSMC 7nm 工艺的微处理器已经与具有相似性能的 Intel 10nm Superfin 处理器竞争,我们将 Intel 10SF 设置为与 TSMC 7nm 相同的性能。这并不理想,假设两家公司在设计方面都做得同样出色,但却是最好的比较。然后,我们根据英特尔的公告从 10SF 扩展了所有其他英特尔节点。


再一次,我们根据 IBM 的 2nm 公告将 IBM 的 2nm 放在了这张图表上。


图12


我们的分析使我们相信,英特尔可能会在一年和节点的基础上取得性能领先。这与英特尔宣称的“每瓦性能领先”的目标是一致的。假设台积电指的是密度,他们声称他们将在 2025 年拥有最佳工艺的说法也可能是正确的。


总之,我们相信英特尔能够在代工厂陷入困境的时候显著加快他们的工艺开发。尽管我们预计英特尔不会在所研究的时间段内重新获得密度领先优势,但我们确实相信他们可以重新夺回性能领先优势。


到 2022 年底,当我们看到英特尔 4nm 是否按时问世时,我们应该会再次获得有关进展的好消息。


*免责声明:本文由作者原创。文章内容系作者个人观点,半导体行业观察转载仅为了传达一种不同的观点,不代表半导体行业观察对该观点赞同或支持,如果有任何异议,欢迎联系半导体行业观察。


今天是《半导体行业观察》为您分享的第3027内容,欢迎关注。

推荐阅读


无掩膜光刻,有机会吗?

模拟芯片公司奔向12英寸

开局狂跌的芯片巨头


半导体行业观察

半导体第一垂直媒体

实时 专业 原创 深度


识别二维码 ,回复下方关键词,阅读更多

晶圆|集成电路|设备 |汽车芯片|存储|台积电|AI|封装

回复 投稿 ,看《如何成为“半导体行业观察”的一员 》

回复 搜索 ,还能轻松找到其他你感兴趣的文章!

责任编辑:Sophie

相关文章

半导体行业观察
摩尔芯闻

热门评论