为什么认为英特尔将逆袭?

2022-05-23 14:00:33 来源: 半导体行业观察

来源:内容由半导体行业观察(ID:icbank) 编译自seekingalpha 谢谢。


在我(作者)看来,从现在起到大约十年后,计算机看起来会与今天大不相同。而有一家公司将从这些变化中受益,那就是英特尔)。

也许有一些投资者可能对此论调不屑一顾,并指出英特尔从错过 iPhone 和移动设备到他们的工艺开发问题的众多失误。然而,英特尔的问题可以比作道路拥堵:虽然 10nm 被推迟,但英特尔仍在继续研究未来的技术。因此,随着拥堵终于消除,新任 CEO Pat Gelsinger 进一步打开资金闸门(面向研发而不是股票回购)。英特尔将卷土重来,并复仇,一旦尘埃落定,英特尔可能会成为真正的赢家——例如,英特尔重新获得领导地位将创造一个强大的飞轮,可能导致代工客户涌向英特尔。

第一道板斧:重新获得制程领导力


在笔者看来,英特尔(在 10 纳米)绊倒只是因为没有工具(设备)来制造英特尔想要制造的芯片。更具体地说,英特尔的10nm 是在 ASML EUV 面世之前开发的,因此英特尔不得不尝试用 193nm 波长打印低于 40nm 的特征。这就像画家使用的画笔覆盖了一半的画布。如果这听起来不可能,那么英特尔实际上应该获得一些支持,以最终以 >50% 的毛利率生产 10nm。尽管如此,这仍然是英特尔的全部问题,所以英特尔现在押注EUV。

因此,随着 EUV 的建立,游戏已经完全改变,进入摩尔定律缩放的新时代。简而言之,以 10nm 为基础判断英特尔未来执行能力的投资者是在看过去,而不是未来。例如,英特尔已经宣布,它将率先在 2025 年转向生产下一代光刻工具——High NA EUV光刻机。这些工具的每单位成本约为 4 亿美元。正如 Pat Gelsinger 所说,这个团队正在为他们的骄傲而努力:


但在晶体管规模上发生的不仅仅是新工具。晶体管的基本结构很快就会发生变化,就像过去已经发生的那样。众所周知,英特尔在 2012 年推出了 FinFET,震惊了整个半导体行业。像台积电这样的其他公司一夜之间不得不改变他们的路线图,以避免陷入无关紧要的深坑。

因此,虽然光刻技术不一定是英特尔最大的优势,但上图显示了同样重要的东西:材料科学。我之前已经 深入讨论过这个话题 。总之,英特尔的材料科学和纳米技术研究是世界一流的。甚至台积电也远远没有接近这一点。对于过去的一些例子,考虑台积电的泄漏 20nm 平面晶体管;Intel的应变硅/HKMG/FinFET三重奏;英特尔将air gaps在 14nm 投入生产,钴 + 钌互连、单虚拟栅极、有源栅极上的接触和 superMIM 电容器在 10nm 投入生产。上一句中提到的所有技术(它们的细节并不重要),台积电实际上甚至在 5nm 上还没有用到。

展望未来,在 2024 年,英特尔将通过将晶体管从 FinFET 更改为 RibbonFET,同时通过引入称为 PowerVia 的背面供电网络来改变互连,从而投下另一个重磅炸弹。虽然其他人也谈到了gate-all-around,但没有其他人拥有像 PowerVia 这样的东西。

具体到台积电,正如我 一段时间以来所争论的那样 ,台积电继续在 3nm 使用 FinFET 可能被视为软弱的迹象:台积电的 CEO 在同一个句子中字面上使用了“3nm”和“延迟”,而节点只会带来 1.6 倍的密度提升。台积电也已经表示 2nm 将在 2025 年推出,这比大家预期的要晚。

因此,我敦促投资者从表面上看待这些迹象:在 2.5 年内实现 1.6 倍的密度提升并不意味着任何类似领导力的执行。相比之下,英特尔仅用了 18 个月就将 20A 密度提高了 2 倍。因此,既然这篇文章是关于十年后计算会是什么样子的,人们只能想知道这对GAA时代可能预示着什么。鉴于台积电最近的失误和英特尔在世界级材料科学和组件研究方面的历史,再加上 Pat Gelsinger 提供的大量额外资金,重新获得制程领导地位的未来似乎是广阔的。

第二道板斧:来自小芯片乐高积木的 3D 芯片


十年后不仅隐形晶体管看起来会有所不同,整个芯片也会看起来非常不同。事实上,“芯片”(或 SoC = System-on-a-Chip)这个词将具有任何意义,因为不会只有一个芯片。会有很多芯片:每个 IP 块都可以是它自己独立的芯片。这些类似乐高积木的积木称为小芯片(或tile)。

此外,谁说小芯片必须以 2D 布局?正如从内存和存储中已知的那样,在 3D 中堆叠小芯片时会出现巨大的机会。或者正如 Pat Gelsinger 最近所说,他预计这将使英特尔在未来十年内的发展速度超过摩尔定律(“超级摩尔定律”)。

现在,我知道接下来会发生什么。一些投资者现在会急于谈论 AMD已经在做小芯片了(AMD 首先在商业上引入了小芯片)。哎呀,AMD 已经在使用他们的 3D V-Cache 进行 3D 堆叠。

但这不是我的意思。事实证明,并非所有堆叠和所有小芯片都是平等的。对于投资者来说,区分“真正的”3D 封装技术和“真正的”小芯片与那些看起来很像的小芯片是很重要的。我可以很容易地用人们可能见过的最具启发性的技术图表之一来证明这一点:


认为计算是功率密集型的?再想想。如果操作不当,互连将完全消耗 180W+ 的功率预算。AMD牛人经常吹捧的AMD的一些特殊技术,Infinity Fabric,实际上是AMD最大的瓶颈。

然后有人会指出 AMD 已经有了一种叫做 3D V-Cache 的东西。但是,这也不是“真正的”3D 堆叠:如果您的技术只能堆叠一个简单的 SRAM 芯片,那么出于所有目的,您根本就没有堆叠任何东西。3D V-Cache 只有少数小众应用,这也是我将 AMD 昵称为 Advanced Marketing Devices 的原因。可以肯定的是,底层技术混合键合确实允许更高级的应用,但没有迹象表明 AMD 正在使用或开发这些应用。

无论如何,AMD 目前所做的并不是我所说的小芯片和 3D 堆叠的真正含义。相反,小芯片的秘密魔法是创建一个具有离散小芯片的系统,但从外部看起来像(或无法区分)一个高效且单一的整体。无需深入研究所有技术,就足以说明英特尔的先进 2.5D 和 3D 封装产品组合是无与伦比的。正如 Pat Gelsinger 所说:“我回来后发现的一件很酷的事情是,即使在工艺技术、3D 封装技术方面存在一些问题,但我们的表现并不太差”。

从概念上讲,它看起来如上图右侧(AMD 只是在做中间部分):每个 IP 都将是它自己独立的硅片。使用这种方法,创建 CPU 就像堆叠乐高积木一样容易。

在 AMD 的讨论中,AMD 的 CTO 谈到 了英特尔的 IP 分区理念:“总有一种平衡——一个好主意被过度使用可能会变成一个坏主意。” 但是,我宁愿将此声明解释为承认 AMD 不具备技术能力来最大限度地利用小芯片,就像英特尔计划的那样。

特别是,英特尔拥有以下可以在一个封装中混合和匹配的技术:

  • EMIB:充当用于 2.5D 平铺的小芯片之间的微型低成本桥梁,具有超低功耗和超高带宽(与 Infinity Fabric 等传统方法不同);
  • Foveros:将基础芯片(有源中介片)连接到一个或多个顶部小芯片以进行 3D 堆叠,但甚至可以扩展到多层 3D 堆叠;
  • Foveros Direct:这与 AMD 使用 TSMC 的 3D V-Cache 的底层技术相同,具有最低的功耗和更高的互连密度;
  • Foveros Omni:进一步解决了 3D 堆叠中的电源和互连问题。

关于这个投资组合是否真的代表了领导地位,已经有很多讨论。我认为确实如此。首先,多年来,台积电 2D 小芯片的主力一直是其无源中介层 (CoWoS) 技术。然而,当考虑到在所有有源硅小芯片(包括 HBM)下方需要一个巨大的中介层时,很快就会意识到这项技术的完全低效。与英特尔需要的微型 EMIB 桥相比,这是极其昂贵的,因为这可能会导致 1000 平方毫米的额外硅片。

例如,EMIB 是 Intel 的 Sapphire Rapids Xeon 经济实惠的唯一原因,因为 Infinity Fabric(传统技术)和巨型 2000mm2 中介层之类的东西都不实用。

其次,下面是一些真正尖端封装的示例(证明这与 AMD 的半芯片方法完全不同)。这:


而且,正如我顺便提到的,英特尔的下一代英特尔 4 FPGA 可以提供 1-2 个数量级的能效增益:


而这个,为 2 exaflops Aurora 超级计算机提供动力的 Ponte Vecchio 47 芯片 GPU:


最后是由 Intel 7、Intel 4 和 TSMC N3 小芯片构建的 Meteor Lake 大容量客户端 CPU:


我希望这只是 2.5D 和 3D 硅封装和系统新时代的开始。就像High NA 光刻、RibbonFET 和 PowerVia 一样,英特尔在这些新的突破性能力方面处于领先地位。

第三道板斧:集成硅光子学


半导体行业的大多数投资者都了解工艺技术。少数人了解高级封装。但是从来没有人谈论过硅光子学。然而,这也将改变。如果英特尔凭借 RibbonFET 重新夺回制程领导地位,而英特尔在先进封装技术方面的领先地位是蛋糕,那么硅光子学将是锦上添花。

为了解释相关性,我(再次)不得不指出上面 AMD 的 Infinity Fabric 令人尴尬的功耗。虽然先进的封装解决了这个问题,但它只是间接地解决了这个问题。根本问题仍然是,使用电子传输信息本质上是相当耗电的。

更具体地说,虽然高级封装和小芯片代表了封装级别的真正突破,但在系统级别,例如数据中心和超级计算机,问题仍然很突出。

硅光子学的巧妙之处在于,英特尔实际上已经在大批量生产这项技术。然而,这些仍然只是数据中心中用于连接以太网交换机的离散模块。下一步将是使硅光子成为以太网交换机本身的一部分。(幸运的是,英特尔通过其 2019 年的 Barefoot Networks 收购巧合地拥有了台积电 7nm 以太网交换机。)然后,最后一步是将硅光子 IC 集成到计算封装本身上(实际上是使用 3D 封装)。

换句话说,虽然硅光子学已经存在,但它仍处于类似于在 IC(集成电路)发明之前晶体管已经存在的阶段。

因此,集成硅光子学将成为英特尔高级封装工具箱中的另一个工具,如上一节所述。只需考虑以下几点:一旦您集成了硅光子,封装之间的界限就会变得模糊,因为硅光子提供了非常快速、低延迟和低功耗的互连。在数据中心(或超级计算机)中,可以想象跨越大量机架的芯片突然变成一个集成系统。它构成了英特尔 Zettascale 计算计划的关键支柱。现在,这将真正成为一项可以(名副其实地)保证 Infinity Fabric 这个名字的技术。

如果有人怀疑英特尔或其他任何人)是否能够彻底改变数据中心,并保证 600B 美元的估值,请 再想一想


两颗 IC 堆叠在一起,一个在另一个之上,并用铜柱连接。“这是我们如何使用 3D 封装将节能 CMOS 电路与硅光子紧密集成的一个例子,”Balamurugan 说。“这种协集成是提供性能和成本优化的光收发器的关键。” 通过将硅光子构建块与计算资源相集成,英特尔相信它可以打破当前更大处理器和更多 I/O 引脚的趋势,这是满足不断增长的带宽需求所必需的。(...) 然而,随着时间的推移,该公司希望将其硅光子平台扩展到每根光纤 1 Tb/s,每比特消耗 1pJ 的能量,达到 1 公里的距离。

与本次讨论的共同点一样,这是一项只有英特尔在业界处于领先地位的突破性技术。顺便说一句,这项技术也正在开发中,用于 Mobileye 的激光雷达。

为了加入这一讨论,最近,英特尔 发布了一项重要声明 ,进一步表明英特尔打算引领行业发展集成光子学。虽然不像前一天的 Mobileye IPO 那样引人注目,但长期潜力同样巨大。

为了完整起见,英特尔并不是唯一一家致力于此的公司,尽管它确实处于领先地位。具体来说,英特尔 最接近的竞争对手是 GLOBALFOUNDRIES

第四道板斧:扰乱晶圆代工空间


想象一下,您是一家初创公司,您将进入代工领域(换句话说:成为与台积电竞争的合同制造商),这想为思科、AMD 、NVIDIA、高通 和 Apple等全球最大科技巨头提供制造硅片的机会,您需要什么?

您需要领先的工艺技术,提供与其他任何地方不同的低功耗和高速晶体管。您需要先进的封装,以允许这些客户通过 3D 乐高积木创建下一代计算系统。由于人工智能的兴起,数据和带宽需求激增,您需要像集成硅光子这样的快速互连。您需要一套可以作为 IP 和小芯片构建块提供的世界级 IP。最后,您需要一个庞大而昂贵的工厂网络。

显然,没有风险投资会支持这样一家初创公司,因为开发技术来检查所有这五个盒子将花费数十亿美元。

然而,巧合的是,英特尔拥有上述中的每一个。因此,这就是 Pat Gelsinger 在成为 CEO 并开始英特尔代工服务业务时拔出的通配符:IFS 业务免费获得英特尔的所有投资,反过来,这将打开一个新的大门。

因此,如上所述,这项基本的、领先的技术不仅可以让英特尔创造突破性的产品,而且英特尔还将帮助世界上每一位无晶圆厂客户实现自己的目标。因此,英特尔不仅会在未来十年重新绘制技术格局,还会重新绘制地缘政治格局。


事实上,在一月份,英特尔宣布了俄亥俄州的 Silicon Heartland,初始投资为 200亿美元,可能增长到1000亿美元,三月份,英特尔宣布在德国的 Silicon Junction,初始投资为200亿美元,可能增长到1000亿美元 . 换句话说,英特尔在未来十年的投资与其市值一样多。结合其研发支出,英特尔每年将投资500亿美元。这和NVIDIA 和 AMD 的总收入相当。

过去,关于英特尔失去苹果业务的报道很多。但正如 Pat Gelsinger 所说,英特尔代工服务为他提供了赢回这项业务的方法。

当然,你可以问为什么苹果在成为台积电十多年的客户之后会这样做。答案与英特尔在 10nm 工艺上失去领先地位时陷入非常严重的麻烦一样,原因很简单:如果您没有领先的晶体管,您的设计将永远不会在市场上具有竞争力。

简而言之,摩尔定律的技术和经济影响意味着赢家通吃的市场动态,每个人都将涌向拥有最好技术的代工厂。当然,一些投资者会不同意,但上面我认为,在十年左右的时间里,这家公司将(很可能)成为英特尔,因为它在从晶体管到小芯片到封装到互连的各个方面都拥有领先技术。正如 Pat Gelsinger 所说,代工厂让英特尔变得更好,而英特尔让代工厂变得更好。

对于那些仍然怀疑这是否可行的人,只需要看看台积电,它正在利用短缺的优势来 提高价格 。例如,已经有传言称苹果只会在其最昂贵的 iPhone 中使用其下一代 A16 芯片。很明显,台积电试图利用其目前的垄断地位,为英特尔在代工领域提供了一个有利可图的机会。这解释了英特尔如何能够在短短几个月内与超过 100 名潜在客户建立联系。到 2022 年,英特尔已经有超过 40 个测试芯片通过晶圆厂。

写在最后


这篇文章的灵感来自一个 逆向的观点 ——英特尔将 沦为半导体行业的笑柄 . 这是真的,正如我之前详细介绍的那样,英特尔究竟是如何以及为什么在 10nm 上跌跌撞撞的(并在上面简要解释过)。

因此,本文不仅为英特尔提供了恢复相关性的场景,而且通过先进封装、小芯片和下一代 RibbonFET 晶体管的全面产品组合等技术跃居行业领先地位,这是一种范式转换的电力传输PowerVia 和具有集成硅光子的数据中心的革命性新互连。

许多人肯定会指出,这里最大的风险是英特尔在其工艺技术路线图上的执行。显然,在英特尔真正开始出货产品之前,英特尔永远无法说服这些投资者。尽管如此,我所看到的最接近和最直观的证据是 Pat Gelsinger 在 2 月份的投资者会议上举起 18A SRAM 测试晶片:这项技术是真实的。但是创建领先产品的其余部分已经存在(以初步形式),47 个小芯片 Ponte Vecchio 或英特尔每年出货的数百万个硅光子收发器就是证明。

直到所有这些技术结合在一起,真正的魔力才会开始发生,并产生革命性的新系统,而这在几年前是完全不可想象的。


★ 点击文末 【阅读原文】 ,可查看本文原文链接!


*免责声明:本文由作者原创。文章内容系作者个人观点,半导体行业观察转载仅为了传达一种不同的观点,不代表半导体行业观察对该观点赞同或支持,如果有任何异议,欢迎联系半导体行业观察。


今天是《半导体行业观察》为您分享的第3048内容,欢迎关注。

推荐阅读


芯片制造工艺可到0.2nm?

从无到有,做好一颗芯片要几步?

国产半导体光刻胶野望


半导体行业观察

半导体第一垂直媒体

实时 专业 原创 深度


识别二维码 ,回复下方关键词,阅读更多

晶圆|集成电路|设备 |汽车芯片|存储|台积电|AI|封装

回复 投稿 ,看《如何成为“半导体行业观察”的一员 》

回复 搜索 ,还能轻松找到其他你感兴趣的文章!


点击阅读原文,可查看本文
原文链接!


责任编辑:Sophie

相关文章

半导体行业观察
摩尔芯闻

热门评论